You are on page 1of 15

1.

INTRODUCTION Electron beam lithography (E-Beam) is a practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film (called the resist), exposing the resist and of selectively removing either exposed or non-exposed regions of the resist [1]. The purpose, as with photolithography, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. There is electron beam lithography historical overview [1]: 1920s: Bush proposed the lens properties of magnetic coil and verified that the lens formula for glass optical lenses is valid for magnetic lenses. 1950s: Scanning electron microscope(SEM) technology widely investigated. Contamination layers in SEMs well known. 1958: Buck (MIT) proposes to use contamination effect for 100nm etch mask. 1961-1962: Spiedel/Tubingen first E-Beam lithography patterning. 1960-70s: Numerous E-Beam lithography system activities worldwide. 1970-80s: E-Beam lithography for mask and wafer manufacturing applications. 1976: IBM-Journal : EL1 (non variable) Shaped Beam. 1977: ZBA 10 (prototype) delivered to customers. 1978: ZBA 10 at Leipzig spring trade fair. May 1978: i) NTT: EB-52 prototype.

ii) Philips: Prototype of a Gaussian Column/Electron beam 1:1 projector iii) JEOL: JBX-6A VSB System. iv) Thomson-CSF v) IMB: EL1, VSS column, Principles of Character Projection vi) SIEMENS: Studies for an Electron Micro-projection System vii) Cambridge Instruments/Hughes Res. Labs: Modified SEM

viii) TI: DRAM-Cells (65um^2) using E-Beam lithography. This project will discuss on generally process and techniques of E-Beam lithography, such as scanning methods, resists and etc. It also discussed about focused ion beam lithography approaches. From this readers know the differences between these two lithography techniques. E-Beam lithography generally consists of three components such as electron gun, electron optical column and surface. These 3 components will be discussed later in discussion part on how these 3 components work in E-Beam lithography. Nowadays, based on the length of time it has historically taken for each new lithography technology to move from proof of concept to production, e-beam direct write lithography tools will be available no sooner than 2015, according to Kurt Ronse, lithography department director at nanoelectronics research center IMEC. Ronse recommended e-beam groups working on 16nm/22nm node initially apply their technology to mask-writing tools where throughput requirement would not be so arduous as a shorter term.This is because current e-beam litho speed writing a single 300-mm wafer at 60-nm half pitch still takes 20 hours. Projection Mask-Less Lithography (PLM2) technology has a goal of building systems that offer 256,000 programmable electron multi-beams of 50 keV energy. Mapper e-beam throughput goal for its tools of 10 wafers per hour and then cluster 10 tools together

in a system that can write 100 wafers per hour. Mapper's tools feature 110 electron beams that can be individually switched on and off by means of an optical blanker array and they will use a movable stage. In future, the E-Beam direct white solutions develop into mainstream solutions, it's clear that e-beam has a bright future. Even if one or more of the mask-based approaches continue as a more practical approach to advanced-node wafer production, advanced e-beam machines will still be needed to create those masks. Both governmental and private sector investors should be supporting the growth and development of e-beam technologies because lower mask cost supports the growth of the entire semiconductor industry. Investment in e-beam is an investment in the future of semiconductor, no matter which lithography method is used. MIT researchers now believe that e-beam lithography, which is commonly used for prototyping and is currently a slow and low-volume production process for semiconductors, could be an option for chip manufacturers as the technology can be scaled down to structures of 9 nm. In future, they were able to increase the efficiency of e-beam lithography by using a thinner mask, which requires less energy per beam and enables a higher number of parallel electron beams to accelerate the production process. They also said they used a common table salt solution to develop the resist, hardening the regions that received slightly more electrons but not those that received slightly less. 2. PRESENTATION AND DISCUSSION 2.1 E-Beam Lithography. Basically, E-beam lithography consists of shooting a narrow, concentrated beam of electron onto a resist coated substrate [4]. Electrons can induce the deposition of substances on surfaces, or etch at the surface. E-beam lithography is important in microelectronic, which required extremely precise placement of micro sized circuit elements and allows scientists to design and place element in smallest possible scale. Electron can be used to etch a mask pattern can be later transferred onto substances

using another technique [4]. Electrons are charged particle, it is necessary to perform E-Beam lithography inside a vacuum further complicating the required equipment and process. Instead of understanding the process of E-beam lithography, it is more efficient to understand some important components required for E-Beam lithography. There are three components in E-Beam lithography:i) Electron Gun: located at the centerpiece behind E-Beam lithography. The specifics of an electron gun could stretch pages, so sufficient to know that the electron gun is an apparatus that is able to shoot beam electrons in specific directions. Two common E-Beam emitters are lanthanum hexaboride crystal and zirconium oxide coated tungsten needle. The emitter is first heated to produce and excite electrons on the surfaces. When high voltage is applied, the excited electrons accelerate towards a structure called the anode. By varying the voltage, the trajectory and the focus of the beam can be manipulated. ii) Electron Optical Column: The system of lenses by combination of electromagnetism and optics, has the ability to focus the electrons to concentrated beam in desired direction. Two parallel plates inside the column can be electrostatic charged to a precise degree. The resulting electric field is able to bend the beam in a desired direction. iii) Surface: After the beam is directed and concentrated by optical column, it is ready to focus on the surface. As with most lithography techniques, a substance called a photo resist covers the surface. For example, in SEM JEOL 840, the tools components consists of electron gun, anode, alignment coils, zoom condenser lenses, objective aperture, scan coils, objective lens, sample holders and sample stage [3]. There are two types of E-Beam lithography, electron beam direct white (EbDW) and electron projection lithography (EPL). Each part of EbDW component have different functions, for example, an

electron gun or electron or electron source supplies the electron, an electron column that shapes and focuses the electron beam and a mechanical stage that positions the wafer under the electron beam. Then a wafer handling system that automatically feeds the wafers to the system and unloads them after processing and lastly computer system controls the equipment. There are two types of electron gun that used in EbDW such as thermionic and field emission. Thermionic emission is a emissions of electrons across the boundary surfaces that separates a heated electronic conductor from an otherwise non conducting space [2]. The emission of charged atomic or molecular particles that may carry with them either a net positive or net negative charge. Field emission is the process whereby electrons tunnel through a barrier in the presence of a high electric field. This quantum mechanical tunneling process is an important mechanism for thin barriers as those in metal-semiconductor junctions on highly-doped semiconductors. The electron beam deflection system is the controlling system for electron beam. It consists of magnetic coils and electrostatic elements that deflect the e-beam. For each magnification used during exposure of the design there is a certain write-field size. This is the area that can be covered by the ebeam with good precision. This area is called a write-field (WF). The deflection has always some errors which has to be compensated for. If the design is larger than can be covered with one write-field, it is possible to put several write-fields adjacent to each other, with corresponding parts of the design exposed in each write-field. This is called stitching of write-fields. It gives rise to stitch errors since there is not perfect matching between adjacent write-fields. These errors can be minimised with careful write-field alignment. There are two methods of scanning the beam over the substrate surface to write the pattern data. With raster scan, the electron beam is scanned across lines of pixels and the wafer is shifted to the next line. With vector scan, an area of an individual chip is selected, and the beam draws out the features in that area one-by-one. Raster scan method is to design and calibrate, however, because the beam is scanned across the entire surface, sparse patterns take the same

amount of time to write as dense patterns, making this method inefficient for certain types of patterns. For vector scan is much faster than the raster scan for sparse pattern writing because the e-beam jumps from one patterned area to next, skipping unwanted areas. Adjustment to the beam can also be made relatively easily. However, it takes longer for the beam to settle, making it more difficult to maintain accurate placing for the beam. In mass production, where throughput is concerned, exposures times of several hours are not acceptable. The drawback of electron beam direct write is the serial character of the method. Attempts to increase the throughput of direct-write shaped electron beams by character and cell projection could not keep pace with the relentless pixel growth dictated by Moore's law. Consequently, electronbeam lithography has been relegated to the limited but important role of the tool of choice for maskmaking in the industry, where again its pattern-generation capability more than its superior resolution drives it used. It has long been recognized that the revival of electron-beam lithography for highresolution, high-throughput wafer exposure of next-generation IC chip designs would require a quantum leap in exposure parallelism. Though there are electron optics which could enable projection lithography, this method suffers from the huge penetration depth of the electrons. The masking layers have to be thick to stop a significant part of the electrons. In 1995, Bell Laboratories and Lucent Technology come out with new solution to improve the projection lithography namely SCALPEL method. SCALPEL (Scattering with angular limitation in projection electron beam lithography), a broad beam of electrons 2-3 mm in diameter is scanned across a mask consisting of a silicon-nitride membrane layer 100-150 nm on a patterned scattering layer 25-50 nm of gold or tungsten is situated. The electrons only strike the membrane layer will pass this layer mostly unscattered, while the electrons strike the scattering layer, they will be distracted strongly from their path. The unscattered electrons are focused through an aperture and projected

onto the wafer, while the scattered electrons will be blocked. So high contrast image can be achieved. This is advantage of image reduction thus making mask fabrication easier. In 1999, International Business Machine (IBM) introduce Projection Reduction Exposure with Variable Axis Immersion Lenses (PREVAIL). In this system the optical axis of the electron system is shifted, so aberrations are reduced, enabling larger scan fields. Projection of sections of a chip pattern or subfields, small compared to the size of a chip, but large compared to pattern features, on a four times mask onto a wafer. The complete IC chip pattern is then generated through accurate stitching of the subfields. The SCALPEL proof of concept system was first to implement sequential illumination of the mask in an e-beam reduction projection system by mechanical scanning of reticule and wafer at a 4:1 speed ratio underneath a stationary beam. PREVAIL carries this concept further by combining electronic beam scanning with continuous stage motions. This approach provides the significantly larger effective field size needed to achieve commercially viable throughput levels. Some parameters characterize the performances of one resist. The first parameter is the resolution (in nm) allowed by the resist. Indeed, the pattern precision depends on the scattering effect, which is more or less high depending on the resist. Then, the resist sensitivity (in C/cm) is also crucial. This corresponds to the quantity of charge brought by the e-beam needed to the scission or cross-linkage. Hence for a high sensitivity, the exposure time is shorter, thus the throughput increases. Lastly, a good etch resistance is required to allow fine layer of resist. There are two types of resist which are positive and negative resist. Positive electron beam resists break down into less complex fragments on exposure to an electron beam. Because the less complex fragments have a lower molecular weight, they are more soluble by the developer than the unexposed resist. Negative resists form complex molecular links when exposed to the electron beam, and so become insoluble in the original solvent, which removes the unexposed resist. A layer of GeSe onto which AgSe has been evaporated is one

example of a possible negative resist. Today no perfect resist exists. PMMA has extremely high resolution, and its ultimate resolution has been demonstrated to be less than 10 nm. But its major problems are its relatively poor sensitivity, poor dry etch resistance, and moderate thermal stability. Resist swelling occurs as the developer penetrates the resist material. The resulting increase in volume can distort the pattern, to the point that some adjacent lines that are not supposed to touch become in contact with each other. Resist contraction after the resist has undergone swelling can also occur during rinsing. However, this contraction is often not enough to bring the resist back to its intended form, so the distortion brought about by the swelling remains even after rinsing. Unfortunately, a swelling/contraction cycle weakens the adhesion of the smaller features of the resist to the substrate, which can create undulations in very narrow lines. Reducing resist thickness decreases the resolutionlimiting effects of swelling and contraction. When electrons strike a material, they penetrate the material and lose energy from atomic collisions. These collisions can cause the striking electrons to 'scatter', a phenomenon that is aptly known as 'scattering'. The scattering of electrons may be backward or back-scattering, wherein electrons bounce back, but it is often forward through small angles with respect to the original path. During electron beam lithography, scattering occurs as the electron beam interacts with the resist and substrate atoms. This electron scattering has two major effects: 1) it broadens the diameter of the incident electron beam as it penetrates the resist and substrate; and 2) it gives the resist unintended extra doses of electron exposure as back-scattered electrons from the substrate bounce back to the resist. Thus, scattering effect during e-beam lithography result in wider images than what can ideally produced from e-beam diameter, degrading the resolution of the E-beam lithography system. In fact,

closely-spaced adjacent lines can add electron exposure to each other, this phenomenon known as proximity effect. For a high-energy beam incident on a silicon wafer, virtually all the electrons stop in the wafer where they can follow a path to ground. However, for a quartz substrate such as a photomask, the embedded electrons will take a much longer time to move to ground. Often the negative charge acquired by a substrate can be compensated or even exceeded by a positive charge on the surface due to secondary electron emission into the vacuum. The presence of a thin conducting layer above or below the resist is generally of limited use for high energy (50 keV or more) electron beams, since most electrons pass through the layer into the substrate. The charge dissipation layer is generally useful only around or below 10 keV, since the resist is thinner and most of the electrons either stop in the resist or close to the conducting layer. However, they are of limited use due to their high sheet resistance, which can lead to ineffective grounding. A study performed at the Naval Research Laboratory, indicated that low energy 10-50 eV electrons were able to damage approximately 30nm thick PMMA film. The damage was manifest as a lost material. For the popular electron-beam resist ZEP-520, a pitch resolution limit of 60 nm (30 nm lines and spaces), independent of thickness and beam energy was found. A 20nm resolution had also been demonstrated using a 3 nm 100 keV electron beam and PMMA resist. Hydrogen silsesquioxane (HSQ) is a negative resist that is capable of forming sub-30 nm lines in very thin layers, but is itself similar to porous, hydrogenated SiO2. It may be used to etch silicon but not silicon dioxide or other similar dielectrics. Ideally, it will be crucial to use low energy electron as the primary radiation to expose photoresist. These electrons should have energies on the order of not much more than several eV in order to expose photoresist without generating any secondary electron, they not have sufficient excess energy. Such exposure has been demonstrated using a scanning tunneling microscope as the electron beam source. This is because from the data, electrons with energies as low as 12 eV can penetrate 50nm thick polymer photoresist. However, low energy electron optical systems are also hard to design

for high resolution. Coulomb inter-electron repulsion always becomes more severe for lower electron energies. 2.2 Focused Ion Beam (FIB) Lithography Focused Ion Beam (FIB) lithography has significant advantages over the electron beam counterpart in term of resist sensitivity, backscattering and proximity effects [5]. Applying the Top Surface Imaging (TSI) principal to FIB lithography could further enhance its capability. FIB technology is one of promising techniques for nanofabrication because of the distinct advantage of being a maskless process and providing a great flexibility and simplicity. Nowadays, FIB lithography using liquid metal ion sources (LMIS) is considered as being promising way for achieving high resolution microfabrication. Beam energy, spot sized and current of FIB systems range between 10 and 100 keV, 8 to 200nm thick, and 10pA to 10 nA respectively. There are three methods have been investigated for micro and nanofabrication of advanced IC devices, direct milling, resist patterning with light ions and dry development of FIB implanted resist. Direct machining of the substrate by FIB is the simplest process for pattern fabrication. In this method, resist are eliminated and the dose ions can be varied as a function of position on wafer. FIB milling can be done precisely on a substrate so to delineate the required topography. When an ion beam is scanned in a line on the surface, a trench is produced which initially has the shape of an inverse Gaussian as expected from the beam profile. Usually one or two atoms are removed from the surface per incident ion. However, when the dose increase, the trench becomes sharp, narrow and V-shaped. The direct FIB milling has been applied for the fabrication including quantum wires and quantum point contacts in heterostructures, in plane gate transistors and surface acoustic wave devices in GaAs substrates. Opaque defects such as an excess metal on the chromium based masks can simply be milled off. Clear defects can be repaired by milling a light scattering structure (prism) into the area to

be rendered opaque. Most important things is we can avoid the substrate from damaged caused from ion bombardment by applying FIB milling by the use of bilayer structures. These structures made up of a thin gold layer on the top of conventional resist. The gold layer is patterned by the use of Ga FIB milling and the sputtered pattern is transferred to the bottom layer by using reactive ion etching in oxygen plasma. Ion beams of different elements can be used for direct resist patterning by depositing energy into a photoresist film similar to the electron beam exposure, followed by wet development process. Ion beams have the advantages of high energy deposition rates and low scattering effects in resists. Ion beam lithography tends to minimize most of the problems related to E-beam lithography, such as low resist sensitivity, stronger backscattering and proximity effects. The ion scattering in the resist layer and the backscattering from the substrate are negligible due to much heavier particles mass. Also, the energy deposited per unit volume is much higher for ions in comparison with electron. The exposed resist patterns by the incident ion beams are limited only by the ion straggling, which laterally extends to a much smaller distance than the usual range of scattered electrons in case of electron beam lithography. However, much heavier ions used in FIB lithography could only penetrate the top 100nm at 100 keV, which not deep enough to expose entire resist thickness. Although the limited penetration range of ions can be utilized for specific lithography applications such as T-Shaped gate information, it significantly decrease the required resist thickness for conventional FIB lithography. The reduced thickness will result in low aspects ratio patterns difficulties with the further dry etching pattern transfer. As the solution for this problems, the bilayer resist scheme for FIB lithography have been developed. These scheme generally utilize a thin silicon containing resist layer over a thick planarising layer. The top resist layer is exposed by FIB and wet developed, followed by transferring the patterns to the bulk

layer via oxygen reactive Ion Etching (RIE) process. The regions where the silicon containing resist layer remains are oxidized during the dry development, thus forming silicon dioxide mask which protects the lower resist layer and results in positive image formation. Such FIB bilayer resist schemes are capable of achieving nanometer resolution while maintaining high aspects pattern ratio. The only one drawback is the use of wet development step, which often results in resist swelling thus causing pattern deformation during the dry etching. FIB lithography which uses dry development will eliminate the need for wet processing and therefore the pattern deformation due to the swelling. It will also yield high aspect ratio structures with nanometer resolution. There are several reports on the dry development of ion beam radiated resists for negative image formation. Resist regions where ions (Ga+, Si+) are implanted indicate significant reduction of the dry etching rate. These observations were explained with the formation of involatile compounds of the implanted species and the etching species. For example of FIB dry lithography is oxygen plasma development of Ga+ implanted spin-on glasses, where the exposed regions indicated up to 30% lower etching rate. So, the ion beam inhibited etching, has been reported for patterning of PMMA photoresists by Si or Ga ion exposures. The limited range of ions resists is a perfect match for Top Surface Imaging (TSI) processes, where the process involved surface of the resist selectively manipulated so to withstand oxygen dry development. There the combining the FIB lithography with TSI and oxygen dry etching will further enhance its capabilities over conventional lithography processes. Negative Resist Image by Dry Etching (NERIME) process is a single layer TSI scheme for FIB lithography, which utilizes both positive and negative image formation [5]. The NERIME process implements FIB exposure, near UV exposure, liquid phase silylation and oxygen RIE [5]. Additionally, the resist surface is treated by silicon containing chemicals (silylation process), which results in diffusion of the silylating agents into the ICA-containing regions and chemical

reactions with the resin hydroxyl groups. By contrast, the diffusion into the FIB exposed regions is prohibited due to the presence of cross-linked structure. During the final oxygen RIE process, a thin SiO2 layer is formed into the silylated regions thus protecting the resist underneath, while cross-linked resist regions are etched away. Therefore, positive resist image is formed into the exposed resists regions by low dose of Ga+ ions. However, the ions implanted into high dose exposed regions tends to oxidize in a similar way to the silylated regions, thus forming Ga2O3 mask. In result such regions are retained after etching representing negative image formation. The NERIME process can also be simplified down to two steps by implementing only high dose Ga+ FIB exposure and subsequent dry etching. As a result, the Ga implanted resist areas will yield a negative resist image after etching. Figure 1 below shows that the NERIME process [5] as a new approach for micro and nanofabrication:Figure 1. NERIME process [5] 3. APPLICATIONS 3.1. Electron Beam Lithography and applications in Optics. The Optoelectronic Research Group are investigating the interaction of light with photonic crystal at submicron dimension [6]. Potential applications are in telecommunication, optical computing, photobiology, photo medicine and sensors. 1D and 2D periodic photonic crystals and photonic wires based on silicon on insulator and epitaxial III-V semiconductor waveguide material are being realized using electron beam lithography. Particular challenges are to pattern large arrays of well defined holes with uniform separation between holes. This has been achieved using both positive and negative tone resists. Pattern positioning accuracy of VB6 UHR EWF lithography tool is 0.5nm. The elecro-optic and thermo-optic properties of these structures is being studied as a means of controlling their behaviour.

Devices can be realized that are extremely compact and function as channel waveguides, microcavities and Bragg gratings. Figure 2 shows that Mach-Zehnder structure made from 500nm photonic wires in silicon on insulator and this integrated optic can be as modulator. Figure 2. Mach-Zehnder structure made from 500nm photonic wires [6] 4. SUMMARY This report provides fabrication techniques of electron beam lithography and also focused ion beam lithography. The technology is investigated and an outline of fabrication techniques is given. Each technique is suitable for a different market for industrial such as communication, optics, bio-medic and etc. This report finds the limitation of nanofabrication using electron beam lithography such as proximity effects, backscattering and also resist sensitivity. This report is also included the focused ion beam lithography that had several advantages over electron beam lithography. This is because FIB lithography is being a maskless process, flexibility and simplicity. REFERENCES [1] Grant. D.J. 2006. Electron beam lithography past and present. www.davidgrant.ca [2] Nottingham. Wayne B. 1956. Thermionic Emission. Technical Report Handbuch der Physik. Vol.21. [3] Lauren .2005. E-Beam. http://www.imit.kth.se [4] Coane, Philip. Introduction to Electron Beam Lithography. Louisiana Tech University, Institute for Micromanufacturing.

[5] K.Arshak, M.Mihov, A.Arshak, D.McDonagh, D.Sutton. 2004. Focused Ion Beam LithographyOverview and New Approaches. IEEE International Conference On Microelectronics. Page 459-452. Vol 2. [6] James Watt. Example of Electron Beam Lithography Applications. James Watt Nanofabrication Centre Glasgow University. FAKULTI KEJURUTERAAN DAN ALAM BINA SEMESTER I SESI 2011/2012 KKKC 4114 FOUNDATION OF MEMS REPORT : ELECTRON BEAM AND ION BEAM LITHOGRAPHY STUDENT NAME: WAN ZAYURIZWAN BIN WAN YUSOFF LECTURER NAME: DR. FAIZ MUHAMMAD BUKHORI DEPARTMENT: ELECTRIC, ELECTRONIC AND SYSTEM DUE DATE : 14th. NOVEMBER 2011 A124748

You might also like