Professional Documents
Culture Documents
Netlist
Un diseo complejo normalmente consta de varias descripciones ms pequeas (bloques funcionales). Estos bloques funcionales son mapeados en la descripcin principal. Ayudan a crear jerarquas de diseo y hacer ms claras las descripciones. Tambin facilitan la simulacin y la deteccin de errores.
ALU structure
component mux is generic (n: NATURAL :=8); port (x1, x2, x3, x4: in std_logic_vector (n-1downto 0); s: in std_logic_vector(1 downto 0); f: out std_logic_vector (n-1 downto 0)); end component mux;