You are on page 1of 231

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

Spektraler PLL-Selbsttest fr integrierte Mobilfunktransmitter

Der technischen Fakultt der Universitt Erlangen-Nrnberg

zur Erlangung des akademischen Grades DOKTOR-INGENIEUR

vorgelegt von Christian Mnker

Erlangen - 2010

ii

Als Dissertation genehmigt von der Technischen Fakultt der Universitt Erlangen-Nrnberg

Tag der Einreichung: Tag der Promotion:

30. Oktober 2009 10. Mrz 2010

Dekan: Berichterstatter:

Prof. Dr.-Ing. Reinhard German Prof. Dr.-Ing. Dr.-Ing. habil. Robert Weigel Prof. Dr.-Ing. Heinrich Klar

Christian Mnker

March 10, 2010

iii

Die Geburt unseres Sohns Robin, unsere Heirat, zwei Jobwechsel, zwei Umzge, ein Hauskauf ... ohne die Hilfe meiner geliebten Frau Sylvia Englert und unserer Eltern htte ich es nie geschafft, meine Arbeit in dieser turbulenten Zeit abzuschlieen. Dafr danke ich Euch von Herzen.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

iv

Acknowledgments
First of all, Id like to thank my two supervisors Prof. Dr. Dr. Robert Weigel and Prof. Dr. Heinrich Klar for their kind support and motivation over all the years in spite of geographical distance. My interest in PLL topics was triggered by "old PLL rabbit" Edmund Gtz. He also played a vital role in our weekly discussions with Markus Scholz, Burkhard Neurauter and Gnter Mrzinger at Inneon Technologies revolving around PLLs and self-calibration strategies. These discussions sparked-off great chips, several patents and, in the end, this thesis. Markus Scholz also designed the excellent multi-modulus divider block that found its way from the PLL into the FD and shared countless mugs of coffee with me. Manufacturing and evaluation of the test-chips was made possible by the kind support of Inneon Technologies. Frank Demmerle was especially helpful for long discussions on test and self-test issues, motivation and reading the rst horrible versions. Guido Retz, Ludger Schneider-Strmann and Stefanie Marek (www.schreibkonzepte.de) gave me valuable ideas for the nal structure of this work. Julien Layoles contributions on PLL modeling using SystemC gave me important insights into modeling issues and spectral estimation.

Parts of this work were funded by the MEDEA+ project A107 "4G-Radio" and the BMBF project 01M3071 "DETAILS".

A This work was typeset using the MiKTEX - implementation of LTEX with the TeXnicCenter user interface and the fantastic GhostView / Ghostscript package. Figures were created with XFig / WinFig and references were administrated with the combination of B IBTEX and JabRef. Data was kept secure and up-to-date between many different computers and harddisks by Unison.

A big "THANK YOU" to all of you!!

Christian Mnker

March 10, 2010

KURZFASSUNG

Kurzfassung
Bis vor wenigen Jahren war die Komplexitt von HF-ICs so gering, dass testuntersttzende Designmanahmen (Design-for-Test, DfT) oder gar ein Selbsttest (Built-In Self-Test, BIST) unwirtschaftlich gewesen wren. Da man HFParameter zudem nur schwer mit ausreichender Genauigkeit auf dem Chip messen konnte, wurde der Produktionstest auf speziellen automatischen HFTestsystemen (Automated Test Equipment, ATE) durchgefhrt. Der allgemeine Trend der letzten Jahre hin zu drahtlosen Anwendungen schaffte einen Massenmarkt fr komplexe HF-Systems-On-Chip (SOC) mit rapide sinkenden Produktmargen. Wie zuvor bei digitalen ICs wurde der Produktionstest auch fr HF-SOCs zum Flaschenhals; DfT und BIST wurden zur konomischen Notwendigkeit. Sigma-Delta-modulierte Fractional-N Phase-Locked Loops (PLLs) gehren zu den Schlsselkomponenten in heutigen HF-SOCs; sie erzeugen und modulieren rauscharme HF-Trgersignale mit kurzer Einschwingzeit. Die enge Verzahnung von analogen und digitalen Blcken in PLLs und deren vollstndige Kapselung im SOC erschwert jedoch deren Produktionstest und damit den Test des gesamten HF-SOCs. Da erprobte digitale DfT-Methoden ungeeignet sind, um die vielfltigen HFSpezikationen abzudecken, wird ein neuer Ansatz fr den autonomen, spezikationsgetriebenen Test von PLLs in SOCs bentigt. HF-Gerte mssen strenge Standards erfllen, die ganz berwiegend in der Frequenzebene speziziert sind, wie z.B. die Sendebandbreite. In dieser Arbeit wurde daher ein spektraler PLL BIST (SP-BIST) entwickelt, um spektrale Eigenschaften von integrierten PLLs auf dem Chip ohne externe Messgerte zu ermitteln und digital auszugeben. Der SP-BIST beinhaltet einen Stimulusgenerator zur Modulation der PLL und einen Block, der die HF-Antwort der PLL spektral bewertet. Es musste zunchst eine Simulationsmethodik entwickelt werden, um das Zusammenspiel der RF- und Digitalblcke von PLL und SP-BIST im Frequenzund Zeitbereich vorherzusagen. Unter Verwendung eines Standard-VHDLSimulators konnten damit u.a. die PLL-Schleifenbandbreite und das Phasenrauschen bei 4 GHz mit einem Noise Floor von -200 dBc/Hz simuliert werden. Der digitale Stimulusgenerator erzeugt Zweitonsignale mit einer Frequenz von 16 . . . 180 kHz und einem Spurious-Free Dynamic Range (SFDR) von 60 dB. Die PLL wird digital ber das Fractional-Frequenzwort moduliert. Das Zweitonsignal steht sowohl als Sigma-Delta-modulierter Bitstrom zur Verfgung als auch in paralleler Form und ist damit ein vielseitiges Testsignal auch fr andere

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

vi analoge und mixed-signal-Blcke auf dem Chip.

KURZFASSUNG

Das HF-Signal der PLL wird mit einem digitalen Sigma-Delta-Frequenz-Diskriminator (FD) gleichzeitig demoduliert und digitalisiert. Der demodulierte Bitstrom wird in einem Multiraten-Bandpasslter vierter Ordnung mit einer Bandbreite von 0,8 kHz und einem digitalen Hllkurvendetektor spektral bewertet. Dabei wird ein SFDR von 45 dB erzielt, der Rauschboden liegt bei L = 80 dBc/Hz. Die Mittenfrequenz des Bandpasses wird mit einem einzigen Parameter in Schritten von 300 Hz im Bereich von 10 . . . 200 kHz abgestimmt. Der niedrige Ausschnittsverlust des Filters verursacht einen reproduzierbaren Amplitudenfehler von weniger als 0.5 dB fr Einzeltne. Dieser und andere systematische Fehler knnen leicht mit einer Kalibrationsmessung entfernt werden. Die resultierende Standardabweichung des PLL-Frequenzgangs, gemessen mit dem On-Chip Stimulusgenerator, ist 0.05 dB. Die Messdauer betrgt 3 ms pro Frequenzpunkt, Messwerte werden ber ein minimales Testinterface als statisches Wort ausgegeben und ermglichen damit auch einen RF-Test der PLL auf Wafer Level. Mit der Einschrnkung des relativ geringen SFDR knnen auch das In-Band Phasenrauschen und die Modulationsmaske bewertet werden. Diese On-Chip Extraktion der spektralen Parameter stellt eine efziente Kompression der analogen Daten dar und kann direkt mit den Spezikationen im Frequenzbereich verglichen werden. Durch Messung der PLL-Bandbreite und des Spektrums knnen funktionale und parametrische Ausflle ermittelt werden. Stimulusgenerator und Bandpasslter basieren auf verlustlosen Resonatoren, die guten Rauschabstand und Stabilitt auch bei kurzen Wortbreiten garantieren. Resonanzfrequenz bzw. Bandbreite werden mit einem Parameter mit annhernd linearer Abhngigkeit eingestellt. Durch diese einfache Beziehung eignet sich das Verfahren auch fr einen Selbstabgleich. Der SP-BIST wurde auf einem hochintegrierten GSM / UMTS-Transceiver-Chip mit zwei 4 GHz PLLs in einer 130 nm CMOS-Technologie integriert, ohne die Signalqualitt zu beeintrchtigen. Die volldigitale Implementierung ist robust gegen Technologieschwankungen und bentigt eine zustzliche Flche von weniger als 0,06 mm2 , die durch die Reduktion der Testzeit um 150 ms und die verbesserte Testabdeckung mehr als ausgeglichen wird. Der Transceiver-Chip wurde getestet und zeigt die erwartete SP-BIST Funktionalitt.

Christian Mnker

March 10, 2010

ABSTRACT

vii

Abstract
Until a few years ago, RF ICs were low complexity devices that required no Design-for-Test (DfT) or Built-In Self-Test (BIST) features. Additional test blocks would have been uneconomical for these small devices and RF parameters could not be measured with sufcient precision on-chip. Instead, production test was performed on automated test equipment. Since then, a general trend towards wireless applications has turned RF ICs into high volume System-OnChip (SOC) commodity products with dwindling gross margins. As before with digital ICs, production test has become a bottle-neck for cost sensitive consumer markets, turning DfT and BIST into an economic necessity for RF SOCs as well. Sigma-delta modulated fractional-N Phase-Locked Loops (PLLs) are key components of todays wireless transceivers for the generation and modulation of low-noise RF carrier signals with fast settling times. The tight interaction of analog and digital blocks makes PLLs - and as a consequence the whole RF SOC - hard to test, especially as the analog ports of PLLs embedded in SOCs are inaccessible from the outside. As digital DfT methods cannot address the rich analog and RF parameter space, a new approach for the autonomous, specication oriented test of PLLs in RF SOCs is needed. RF applications have to fulll tight spectral requirements, specied by parameters like frequency response or the level of spurious sidebands. In this work, a Spectral PLL BIST (SP-BIST) for on-chip analysis of the spectral properties of PLLs is developed that requires no external RF test equipment and does not disturb critical RF paths. The SP-BIST contains a stimulus generator for PLL modulation and a block for spectral response analysis of the PLL RF signal. A simulation methodology had to be developed to predict transient and spectral behavior and the interaction between RF and digital blocks of PLL and SP-BIST. Utilizing a standard VHDL simulator, the PLL bandwidth and phase noise could be simulated down to a noise oor of -200 dBc/Hz at 4 GHz. A digital stimulus generator provides a two-tone sine signal in the range 16 . . . 180 kHz with a spurious-free dynamic range (SFDR) of 60 dB for efcient testing of PLL spectral properties. The PLL is modulated digitally via the fractional frequency word. The two-tone signal is available as an oversampled SigmaDelta bitstream as well as in parallel form, making it a versatile test signal for other analog and mixed-signal blocks on-chip as well. The PLL RF signal is demodulated and digitized using a rst order Sigma-Delta frequency discriminator (FD). Spectral estimation of the demodulated bit-

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

viii

ABSTRACT

stream is performed using a 4th order multi-rate band-pass lter with a resolution bandwidth of 0.8 kHz, achieving an SFDR of 45 dB and a noise oor of L = 80 dBc/Hz. The band-pass center frequency is tuned with a single parameter in steps of 300 Hz in the range 10 . . . 200 kHz. The low scalloping loss of the lter gives a reproducible amplitude error below 0.5 dB for single tones. This and other systematic errors can be eliminated easily with a calibration run, resulting in a standard deviation of 0.05 dB for the PLL frequency response measured in conjunction with the on-chip multi-tone generator. Using a digital envelope detector, the amplitude of the band-pass output is read out as a static word via the DUT serial data bus. This minimal test interface also enables an RF PLL test on wafer level. Total measurement time is 3 ms per frequency point. Limited by the relatively low SFDR, in-band phase noise and the modulation mask can be measured as well. This on-chip calculation of spectral information is an efcient way for test data compaction and allows direct comparison to specications in the frequency domain. Functional and many parametric faults can be detected by measuring the PLL bandwidth and spectrum. Both stimulus generator and band-pass lter utilize compact lossless resonators which give good performance in spite of short coefcient and word lengths. Oscillation and band-pass center frequencies are tuned with a single parameter with nearly linear dependency. This simple relationship enables self-calibration as well. Slow and computation intensive tasks like linearization, smoothing and logarithmic scaling are performed off-chip to save chip area. The SP-BIST has been implemented on an integrated GSM / UMTS transceiver chip with two 4 GHz PLLs in a 130 nm CMOS technology. The fully digital implementation is robust against technology deviations, does not degrade the device performance and requires an additional area of less than 0.06 mm2 which is more than compensated by the improved test coverage and a reduction of test time of 150 ms. The transceiver chip has been tested, proving the SP-BIST capabilities and functionalities.

Christian Mnker

March 10, 2010

Contents
Kurzfassung Abstract Table of Contents List of Acronyms and Symbols 1. Introduction v vii xii xiii 1

1.1. Motivation . . . . . . . . . . . . . . . . . . . . 1.2. State-of-the-Art of DfT and BIST . . . . . . . 1.2.1. Automated Test Equipment Based Test 1.2.2. Structural Test . . . . . . . . . . . . . 1.2.3. Functional Test . . . . . . . . . . . . . 1.2.4. Alternate or Translation Test . . . . . . 1.2.5. Loop-Back Test . . . . . . . . . . . . . 1.2.6. Built-In Self-Test . . . . . . . . . . . . 1.2.7. PLL BIST . . . . . . . . . . . . . . . . 1.3. Goals . . . . . . . . . . . . . . . . . . . . . .
2. Fundamentals

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

1 4 6 6 10 11 11 13 16 19
21

2.1. Conventions . . . . . . . . . . . . . . . . . . . 2.1.1. Symbols . . . . . . . . . . . . . . . . 2.1.2. Denitions . . . . . . . . . . . . . . . 2.2. Angle Modulation . . . . . . . . . . . . . . . . 2.2.1. Angle Modulation in the Time Domain 2.2.2. Sinusoidal Angle Modulation . . . . . 2.2.3. Small-Angle Approximation . . . . . . 2.2.4. Bandwidth of Angle Modulation . . . . 2.3. Phase Noise Metrology . . . . . . . . . . . . . 2.3.1. Double-Sideband Representation . . . . 2.3.2. Single-Sideband Representation . . . . 2.3.3. Frequency Modulation and Division . . 2.4. Spectral Estimation of Simulation Data . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . .

21 21 22 23 23 25 27 27 28 31 32 34 36

ix

x 2.5. Sampling and Quantization . . . . . . . . . . . . . . . . . . 2.5.1. Sampling . . . . . . . . . . . . . . . . . . . . . . . 2.5.2. Quantization . . . . . . . . . . . . . . . . . . . . . 2.5.3. Oversampling . . . . . . . . . . . . . . . . . . . . . 2.5.4. Subsampling and Downsampling . . . . . . . . . . 2.6. Sigma-Delta Modulation . . . . . . . . . . . . . . . . . . . 2.6.1. Single Bit Quantizer . . . . . . . . . . . . . . . . . 2.6.2. Quantization Noise in M . . . . . . . . . . . . . 2.6.3. Spurious Tones of First Order M . . . . . . . . . 2.6.4. Higher Order M . . . . . . . . . . . . . . . . . . 2.6.5. Terminology . . . . . . . . . . . . . . . . . . . . . 2.7. Digital Resonators . . . . . . . . . . . . . . . . . . . . . . 2.7.1. Basic Properties . . . . . . . . . . . . . . . . . . . 2.7.2. Undamped Resonators . . . . . . . . . . . . . . . . 2.7.3. Resonance Gain and Peak Gain . . . . . . . . . . . 2.7.4. Constant Peak-Gain Digital Resonator . . . . . . . . 2.7.5. Bandwidth and Settling Time of High-Q Resonators 2.7.6. Resonator Implementations . . . . . . . . . . . . . 2.8. Fixed-Point Number Format . . . . . . . . . . . . . . . . . 2.9. Digital Filters . . . . . . . . . . . . . . . . . . . . . . . . . 2.9.1. Direct Form and Related Filters . . . . . . . . . . . 2.9.2. Passivity and Reference Network Filters . . . . . . . 2.9.3. Resonator Based Filters . . . . . . . . . . . . . . . 2.9.4. Comparison of Filter Structures . . . . . . . . . . .
3. Introduction to the Circuit-Under-Test

Contents
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 40 40 41 42 43 46 46 49 49 52 52 52 55 55 56 58 61 63 64 64 67 69 72
73

3.1. Basic PLL Theory . . . . . . . . . . . . . . . . . . . . . . . . . 3.2. Circuit-Under-Test . . . . . . . . . . . . . . . . . . . . . . . . 3.3. PLL Specications and Test Methods . . . . . . . . . . . . . .
4. Concept and Simulation Methodology for Spectral BIST

75 78 82
87

4.1. RF PLL Test Concept . . . . . . . . . . . . . . . . . . 4.2. Measurement Principle . . . . . . . . . . . . . . . . . 4.2.1. PLL Bandwidth . . . . . . . . . . . . . . . . . 4.2.2. Spectral Analysis with FM Discriminator . . . 4.3. From MADBIST to SP-BIST . . . . . . . . . . . . . . 4.4. Partitioning of Test Hardware . . . . . . . . . . . . . . 4.5. Simulation Methodology . . . . . . . . . . . . . . . . 4.5.1. Special Requirements for PLLs . . . . . . . . 4.5.2. Discrete Time Modeling of Analog Blocks . . 4.5.3. Limitations of Event-Driven Analog Simulation

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

87 88 88 89 90 92 93 94 95 98

Christian Mnker

March 10, 2010

Contents

xi 4.5.4. Noise / Jitter Modeling . . . . . . . . . . . . . . . . . . 99 4.5.5. Spectral Estimation of Simulation Results . . . . . . . . 100 4.5.6. PLL Simulation Results . . . . . . . . . . . . . . . . . 100

5. Test Tone Generation

103

5.1. Principle of Digital Sine Generator . . . . . . . . 5.1.1. Direct Digital Synthesis . . . . . . . . . 5.1.2. Arbitrary Waveform Generation . . . . . 5.1.3. Lossless Digital Resonator . . . . . . . . 5.2. Digital Resonator with Low-Pass -Modulation 5.2.1. Principle . . . . . . . . . . . . . . . . . 5.2.2. -Attenuator . . . . . . . . . . . . . . 5.2.3. Multi-Tone Signal Generation . . . . . . 5.2.4. Quantization Noise . . . . . . . . . . . . 5.3. Upconversion in PLL . . . . . . . . . . . . .
6. On-Chip PLL Response Analysis

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

. . . . . . . . . .

104 104 104 104 107 107 108 110 112 113
117

6.1. Spectrum Analysis Overview . . . . . . . . . . . . . . . . 6.1.1. Direct Spectrum Analysis . . . . . . . . . . . . . 6.1.2. Indirect Measurement of Angle Modulation . . . . 6.2. FM Demodulation Using Frequency Discriminator . . 6.2.1. Overview of FM Demodulation . . . . . . . . . . 6.2.2. Principle of First Order FD . . . . . . . . . . . 6.2.3. Signal-to-Noise Ratio of FD . . . . . . . . . . 6.2.4. Second Order FD . . . . . . . . . . . . . . . . 6.3. Spectral Analysis of Baseband Signal . . . . . . . . . . . 6.3.1. Overview . . . . . . . . . . . . . . . . . . . . . . 6.3.2. Filter Topology . . . . . . . . . . . . . . . . . . . 6.3.3. Downsampling Cascaded-Integrator-Comb Filters . 6.3.4. Narrowband Filtering . . . . . . . . . . . . . . . . 6.3.5. Envelope and Display Detection . . . . . . . . . .
7. Implementation and Measurement Results

. . . . . . . . . . . . . .

. . . . . . . . . . . . . .

. . . . . . . . . . . . . .

117 117 120 121 121 123 126 132 133 133 134 136 143 151
153

7.1. Baseband Test-Tone Generation . . . . . . . . . . . . . . . 7.1.1. Oscillation Frequency . . . . . . . . . . . . . . . . 7.1.2. Amplitude and Amplitude Variation over Frequency 7.2. Output Response Analysis . . . . . . . . . . . . . . . . . . 7.2.1. Sigma-Delta Frequency Discriminator . . . . . . . . 7.2.2. Spectral Analysis of Demodulated Bitstream . . . . 7.3. Area Estimation and Layout . . . . . . . . . . . . . . . . . 7.4. Test Chips . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . .

. . . . . . . .

153 153 154 156 156 159 160 162

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

xii

Contents
7.5. Measurement Results . . . . . . . . . . . . . . . . . . . . . . 7.5.1. Disturbances Caused by SP-BIST . . . . . . . . . . . 7.5.2. Spectrum of Test-Tone Generator . . . . . . . . . . . 7.5.3. Measurement Accuracy . . . . . . . . . . . . . . . . 7.5.4. Measurement of Unmodulated Spectrum . . . . . . . 7.5.5. Measurement of Modulated Spectrum . . . . . . . . . 7.5.6. Measurement of Frequency Response with Calibration 7.6. Programming Examples . . . . . . . . . . . . . . . . . . . . . 7.6.1. Programming Registers . . . . . . . . . . . . . . . . . . . . . . . . . . 164 164 165 165 167 169 174 174 176
179

8. Conclusion and Future Work

8.1. Comparison to Goals . . . . . . . . . . . . . . . . . . . . . . . 179 8.2. Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182


A. VHDL Behavioral Models 183

A.1. Loop Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 A.2. Voltage Controlled Oscillator . . . . . . . . . . . . . . . . . . . 186 A.3. Random Number Generator . . . . . . . . . . . . . . . . . . . . 187
Bibliography List of Figures List of Tables Index 189 203 207 209

Christian Mnker

March 10, 2010

List of Acronyms and Symbols


Acronyms
M PLL CP ABIST ACF ADC ATE ATPG AWGN BE BER Sigma-Delta Modulation Sigma-Delta PLL Charge Pump Analog Built-In Self-Test Auto-Correlation Function Analog-to-Digital Converter Automated Test Equipment Automatic Test Pattern Generation Added White Gaussian Noise Backward Euler Bit-Error Rate

BiCMOS Bipolar CMOS BILBO BISC BIST BOST CDF CDR CIC CT CUT Built-In Logic Block Observer Built-In Self-Calibration Built-In Self-Test Built-Off or Built-Out Self-Test Cumulative Distribution Function Clock-and-Data Recovery Cascaded Integrator-Comb (Filter) Continuous-Time Circuit Under Test

xiii

xiv DAC DDS DF DFT DfT DOT DSB DSM DT DUT EVM FE FFT FM FPGA FSR GSM HBIST HDL IC IF LBIST LDI LFSR LNA LO LTI

LIST OF ACRONYMS AND SYMBOLS


Digital-to-Analog Converter Direct Digital Synthesis Direct Form Discrete Fourier Transform Design-for-Test Defect Oriented Test Double Sideband Deep Submicron Discrete-Time Device Under Test Error Vector Magnitude Forward Euler Fast Fourier Transform Frequency Modulation Field-Programmable Gate Array Full Signal Range Global System for Mobile Communications, originally Groupe Spcial Mobile Hybrid Built-In Self-Test Hardware Description Language Integrated Circuit Intermediate Frequency Logic Built-In Self-Test Lossless Digital Integrator Linear-Feedback Shift-Register Low-Noise Amplier Local Oscillator Linear Time-Invariant

Christian Mnker

March 10, 2010

List of Acronyms and Symbols


MADBIST Mixed Analog-Digital Built-In Self-Test MASH MBIST MISR NTF OBIST ORA OSR OTA PA PCB PD PDF PLL PM PRBS PSD RBW RF RMS ROM RWV RX SC SDM SFDR SNR MultistAge noise SHaping Memory Built-In Self-Test Multiple-Input Signature Register Noise Transfer Function Oscillation Built-In Self-Test Output Response Analysis Oversampling Ratio Operational Transconductance Amplier Power Amplier Printed Circuit Board Phase Detector Probability Density Function Phase-Locked Loop Phase Modulation Pseudo-Random Binary Sequence Power Spectral Density Resolution Bandwidth Radio Frequency Root Mean Square Read-Only Memory Real World Value of binary number representation Receiver Switched-Capacitor Sigma-Delta Modulation Spurious-Free Dynamic Range Signal-to-Noise Ratio

xv

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

xvi SOC SOS SP-BIST SPICE SPOT SQNR SSB STF TLA TPG TX UMTS VCO VDSM VHDL WDF WL WLAN System-On-Chip Second-Order Section Spectral PLL BIST

LIST OF ACRONYMS AND SYMBOLS

Simulation Program with Integrated Circuit Emphasis Specication Oriented Test Signal-to-Quantization-Noise Ratio Single Sideband Signal Transfer Function Three-Letter Acronym Test Pattern Generation Transmitter Universal Mobile Telecommunications System Voltage Controlled Oscillator Very Deep Submicron VHSIC (Very High Speed Integrated Circuit) Hardware Description Language Wave Digital Filter Word Length Wireless Local Area Network

Symbols
f
Q Frequency modulation index Quantization step size Angular frequency Normalized angular frequency Upper -3 dB frequency of band-pass Lower -3 dB frequency of band-pass

Christian Mnker

March 10, 2010

List of Acronyms and Symbols 0


c r Nominal or carrier angular frequency Center frequency Resonance frequency Loop gain transit frequency Phase deviation from nominal phase Instantaneous phase Variance of quantization error Pole angle Amplitude Modulation amplitude Bandwidth -3 dB bandwidth -60 dB bandwidth Modulation bandwidth Noise bandwidth Relative bandwidth Frequencies in the discrete time domain Quantization noise voltage Frequency Normalized frequency Nominal or carrier frequency Frequency error or deviation Peak frequency deviation Corner or center frequency Instantaneous frequency Lower -3 dB frequency of band-pass Modulation frequency or offset frequency from the carrier

xvii

sT i (t)
2 e

p
A Am B B3 B60 Bm Bn Brel
D

en f F f0 f f fc fi (t) fl fm

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

xviii fm fr fS fsig fu FSR g(t) G(s) h(t) H(s) Hsc kBW kf k f kFM kPM L ( fm ) m(t) N N Nq
Nq ( f )

LIST OF ACRONYMS AND SYMBOLS


Modulation frequency Resonance frequency Sampling frequency Signal frequency Upper -3 dB frequency of band-pass Full Signal Range (Forward) transfer function in the time domain, impulse response (Forward) transfer function in the frequency domain Transfer function in the time domain, impulse response Transfer in the frequency domain Scalloping loss Coefcient determining the damping in resonator loop Coefcient determining the resonance frequency in lossless resonator Difference of coefcients determining the resonance frequency in staggered resonator sections Frequency modulation gain Phase modulation gain Phase noise Message or modulation signal Division ratio Average division ratio Quantization noise power Quantization noise power spectral density Quality factor Quantization error Number of fractional bits (position of binary point) Number of integer bits (position of binary point)

Q qe QF QI

Christian Mnker

March 10, 2010

List of Acronyms and Symbols


rp RBW s S SF s(t) sFM (t) S ( fm ) Sy ( fm ) t T T (s) T Tq Tsym WL y(t) y Pole radius Resolution Bandwidth Complex frequency Normalized complex frequency; signal power Shape factor or selectivity of a band-pass lter Signal Angle modulated signal Phase instability Frequency instability Time Period Closed-loop transfer function Period error or deviation Quantization time step Symbol period, reciprocal of symbol rate Word length in bits Relative frequency deviation Peak normalized frequency deviation

xix

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

xx

LIST OF ACRONYMS AND SYMBOLS

Christian Mnker

March 10, 2010

The most exciting phrase to hear in science, the one that heralds new discoveries, is not "Eureka!" (I found it!) but "Thats funny..."

Isaac Asimov

Introduction
The motivation for improving testability of radio frequency integrated circuits is developed with the main focus on the frequency synthesizer building block. A review of state-of-the-art Design-for-Test techniques for PLLs is given and the goals for this work are dened.

1.1. Motivation
Since its invention in 1958, integrated circuits (ICs) have taken an incredible development. Starting with a few electronic components on a germanium die, the change to silicon enabled the evolution of ICs into full blown Systems-OnChip (SOC) like one-chip computers, fully integrated Ethernet transceivers or single-chip cellular phones. ICs have become so pervasive in everyday life that Isaac Asimov once called their invention "the most important moment since man emerged as a life form" [Ber05]. The rst device breaking the one million transistor barrier was a 1-Mbit dynamic memory in 1986, manufactured in a 1.0-micron complementary metal-oxidesilicon (CMOS) process. In 1989, the Intel 80486 32-bit processor was the rst logic device to take this hurdle. It had 1.2 million transistors and operated at

1. Introduction

up to 50-MHz clock frequency. Only 16 years later in 2005, the rst microprocessor featuring more than a billion transistors was Intels 64 bit Itanium-2, manufactured in the 90 nm technology node with clock frequencies in the GHz range. Moores famous prediction ("Moores law") that the number of transistors available for designing an integrated circuit doubles every 18 months has not only been proved correct for the past 40 years, it is also expected to be valid for at least the next 10 years to come [Moo03]. And even when, nally, the limits of physics will prevent a further reduction of feature sizes, new package technologies utilizing the third dimension will enable higher and higher system integration densities [Tum06]. This integration of system functionality onto a chip allowed to decrease the number of components of high technology products. Additionally, shrinking IC feature sizes enabled by advances in manufacturing processes reduced the price of the chips themselves. These two trends created new markets when high technology became affordable for consumers as a central part of entertainment and communication devices like mobile phones, MP3 players or digital cameras. This shift toward high volumes at low prices has signicantly increased the percentage of test costs of the total production costs as production test time and costs scale with chip complexity, not with chip area. And despite low prices, the demand for quality became higher and higher as faulty products not only mean increased follow-up costs for the manufacturer, they can also be very damaging to the image of a product and the value of a brand. In the 1980s, this trend forced makers of digital chips to adopt Design-for-Test (DfT) and Built-In Self-Test (BIST) methods. These techniques have proved to be immensely successful to keep test costs down in spite of a few percent area overhead. Two factors had helped this development: (1) Digital signals can be propagated and stored without signal degradation, which eased the design of test logic that does not deteriorate system performance. (2) The boolean nature of digital failures also eases the creation of models and software for fault simulations. About 10 years later, production test became a bottleneck for mixed-signal analog-digital chips, however, the parametric nature of analog failures and the corresponding complexity of fault simulations impeded systematic DfT approaches. Many applications also could not tolerate the system performance degradations brought by DfT enhancements. This is even more problematic for Radio Frequency (RF) ICs which now hit the

Christian Mnker

March 10, 2010

1.1. Motivation

production test wall: Until a few years ago, these devices were low-complexity devices manufactured in special technologies like BiCMOS (Bipolar CMOS) or GaAs requiring no DfT support. The general trend towards wireless devices has red up erce competition; the shrinking prot margins and the availability of mainstream CMOS technologies with transit frequencies exceeding 100 GHz made IC designers attempt the seemingly impossible: the integration of RF frontend and digital base-band signal processors on one die. This approach has proved successful for Bluetooth in 2004 [SML+ 04], WLAN (Wireless Local Area Network) in 2005 [KDZ+ 05] and GSM (Global System for Mobile Communications) in 2006 [BHH+ 06]. The drawbacks of deep submicron (DSM) CMOS technologies for analog and RF circuits (high parameter spread, low-gain devices) could only be compensated using digital built-in self-calibration (BISC) schemes [MKNM05]. As test costs account for a growing percentage of the total production costs, DfT and BIST have become an economic necessity for RF ICs as well. Increasing signal frequencies aggravate the problem by pushing up the costs per tester channel. This is in contrast to low-performance devices and memories where efcient DfT measures reduce the costs per channel. Chip area overhead, potential degradation of RF performance and yield and increased package cost due to additional pins have made DfT an unpopular option for RF ICs so far [FWM03]. On the other hand, the high integration density of DSM CMOS technologies allows the realization of complex digital signal processing blocks with little area penalty. This also favors the digital implementation of on-chip test circuitry for analog blocks. Besides reducing test time, a second motivation for introducing RF BIST is access to embedded analog blocks. Building blocks with analog interfaces to the outside world like Analog-to-Digital-Converters (ADCs), Digital-to-Analog Converters (DACs) or Low-Noise-Ampliers (LNAs) can still be tested using the analog capabilities of the Automated Test Equipment (ATE). As a direct consequence of system integration, an increasing number of building blocks is completely embedded in the system. Phase-Locked Loops (PLLs) are a prominent example for a complex mixed-signal building block that is no longer directly observable or controllable from outside. PLLs are core building blocks for RF systems: they are used to generate a clean, stable Local Oscillator (LO) signal with programmable frequency from a xed reference frequency. Increasingly, -modulated PLLs (PLLs) are used in RF CMOS transceivers because this highly digital architecture is well adapted to the parameter variations of DSM technologies [MKNM05]. PLLs achieve excellent spectral purity and high frequency resolution together with fast settling times. The output

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

1. Introduction

frequency can be modulated digitally, making PLLs well suited for mainly digital RF transmitters or spread spectrum applications. However, the tight interaction between analog and digital sub-blocks makes production test a difcult and time-consuming task even when key analog signals like the tuning voltage or the output of the Voltage Controlled Oscillator (VCO) can be accessed from the tester. In highly integrated SOCs, this is often not the case, restricting test of embedded ()PLLs to time-consuming and often inaccurate indirect measurements. For these reasons, DfT support for PLLs is a highly desirable feature for speeding up production test and improving testability. The next section gives an overview of the existing approaches to improve testability of integrated circuits, and which of them could be suitable for PLLs.

1.2. State-of-the-Art of DfT and BIST


Production test of complex devices like wired circuits, later of printed circuit boards (PCB) and nally of ICs and systems-in-package has been and is performed using two fundamentally different approaches: specication oriented tests (SPOT) perform a functional test, ensuring that the product performs its specied functions and fullls the specications committed to the customer. At the end of the assembly belt of a Ford Model "T", a worker would e.g. trigger the winkers and test the breaks, trying to verify all operating modes. However, full functional test of a complex product takes too long and requires specially skilled workers. Another drawback is that manufacturing defects can cause in-eld failures due to untested or unforeseen operation modes. The alternative, structural or defect-oriented test (DOT) targets manufacturing correctness to ensure the product quality. For the example of the Model "T", a worker would check whether all screws are present and tight. Another early, pre-IC example for this kind of test is optical inspection of the solder dots of an printed-circuit board (PCB). This method lends itself to unskilled workers and automated procedures because no knowledge about the product is required. However, it may be difcult to map manufacturing defects to functional failures: functionally good devices may be thrown away because of insignicant defects, reducing yield and gross margin for the manufacturer. The other case is even worse: unmonitored defects may lead to shipping of faulty devices and consequently replacement costs and loss of reputation.

Christian Mnker

March 10, 2010

1.2. State-of-the-Art of DfT and BIST

Design-for-Test (DfT) Defect Oriented Test (DOT) Structural Test


Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

SPecication Oriented Test (SPOT) Functional Test Ad-hoc Test BIST Alternate Test

Chip Level

Next level assembly

Analog / RF

Digital

Analog / RF

PLL BIST

Scan Path BIST IDDQ

Logic BIST Scan Path (LBIST)

Mixed Analog Digital BIST (MADBIST)

RF loopback

Jitter BIST

Spectral PLL BIST (SP-BIST)

Analog BIST (ABIST)

Oscillation BIST (OBIST)

Hybrid BIST (HBIST)

Figure 1.1.: Overview over DfT techniques

1. Introduction

The deep hierarchies of current integrated circuits prevent the direct control and observation of most components on-chip, giving bad coverage for structural test. Due to the sheer number of operational modes, a pure functional test has also become impractical. Most products are therefore tested using a combination of structural and functional test to minimize the "blind spots". Several techniques are applied during the design phase of an integrated circuit and additional test circuits are integrated to improve its testability. These techniques are subsumed under the label Design-for-Test (DfT): Support for structural IC test (e.g. scan design) Support for structural test of next level assembly (boundary scan) Support for functional IC test (built-in self-test, built-out self-test) Fig. 1.1 gives a graphical overview of the different DfT techniques described in the following.

1.2.1. Automated Test Equipment Based Test


As stated earlier, in production test, typically a combination of structural and functional tests is applied at both wafer level and for packaged devices. After a rst basic test to assure proper contacting of the device, scan test vectors are loaded into the chip and the resulting response is analyzed (structural test with DfT). Current consumption for different test vectors and operation modes is monitored (IDDQ / alternate test). Especially for analog / RF devices, several critical operating modes are veried (functional test). Although "test coverage" is difcult to dene when functional tests are involved, the usual procedure is trying to verify all the critical specications of the customer data sheet. Further details about economical conditions, tester costs etc. can be found in [Int05] and [FWM03].

1.2.2. Structural Test


In IC manufacturing, basic device structures like wires and vias, transistors and gates are checked for manufacturing correctness with the target of achieving the specied device behavior. As the terms "defect", "failure" and "fault" are often mixed-up, Box 1.1 contains a short denition of how these terms are used in this work and in most other publications related to test of integrated circuits:

Christian Mnker

March 10, 2010

1.2. State-of-the-Art of DfT and BIST


A fault is an abstract representation of a defect that can lead to the failure of the device.

Every defect should be mapped to a fault, but not every defect or fault results in the failure of the DUT. This is especially true for analog or RF circuits.
Defect: imperfection of a component or structure that violates the technology

specications, e.g. a bridge between a pad and ground or an excessive deviation of a sheet resistance value. Failure: behavior of a DUT that does not conform to customer specications, be it functional or parametric, e.g. a microprocessor calculating 2 + 2 = 5 or a lter with a corner frequency outside the specication band. Fault: a defect mapped to an abstract, computer-readable representation of the chip which could be a gate level or SPICE netlist of lumped components. Examples for faults are a node stuck at zero level or a resistor with twice the target resistance.
Box 1.1: Important Denitions

For defect-oriented testing, a set of input patterns, also called test vectors, is determined that stimulates a high number of the basic devices, the corresponding ideal responses are simulated and recorded. During production test the predetermined stimuli are applied to the DUT and the responses are compared to the predetermined, ideal ones. This only works well when a high percentage of internal nodes is controllable and observable. Finding suitable stimuli is a task well suited for computers as structural test is a brute force approach without knowledge about the function of the circuit. Besides topological information (a netlist or layout), fault models are needed for fault diagnosis techniques. A computer cannot understand the concept of "defects", instead, hypotheses about how the circuit will fail - fault models - have to be formulated. Together with an initial set of test vectors, a fault simulation is started where various faults are inserted into the circuit. The simulator then checks whether the current set of test vectors can detect the difference between faulty and correct circuit behavior and tries to nd new test vectors if the fault coverage is unsatisfactory.

Digital Structural Test

Due to their complexity, digital ICs were the rst to suffer from the loss of observability and controllability of internal nodes. Scan techniques were introduced to improve fault detection during structural test: The basic idea is to implement a scan mode where all the ip-ops in a design are hooked up as a long shift

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

1. Introduction
S out

in

Combinational Logic

D Q SE SI

D Q SE SI

D S en S in CLK
D Q SE SI

out

Figure 1.2.: Scan structure

register (Fig. 1.2). An additional test multiplexer at the input of each ip-op is used to select the scan mode (Fig. 1.3). The rst and last ip-op can be written resp. read via special pins. When switching from normal operation to shift mode, the state information of all ip-ops can be read out sequentially while shifting in a new test vector. When switching back to normal operation, the test vector that has been shifted into the scan chain serially now is applied to the inputs of the combinational logic. The correct behavior of the circuit can now be veried from either the read-out vector or by using current-based techniques like IDDQ test. Scan insertion together with Automatic Test Pattern Generation (ATPG) is the most common DfT technique for digital ICs in industry: Mature software, well integrated into the design ow, is available for scan insertion and ATPG. As scan techniques are structure based, they work independently of the circuit function and require only little manual intervention of the designer. The additional multiplexer of scan ip-ops and the additional wiring increases the chip area by approx. 5 . . . 20% [PN03]. This overhead is no longer questioned due to lack of alternatives. Stuck-at fault is the simplest fault model for digital circuits, assuming only simple boolean logic errors caused by nodes stuck at 0 or 1. Stuck-open faults add memory effects to the fault model due to the charge storage of MOS logic. Unfortunately, defects in CMOS technologies with feature sizes below 100 nm (very deep submicron, VDSM) are not well modeled by stuck-at or stuck-open faults. An increasing number of failures is caused by bridging defects, i.e. bridges between nodes. The bridging resistance determines the amount of delay variation and quiescent current. Only very low-ohmic bridges cause boolean errors that

Christian Mnker

March 10, 2010

1.2. State-of-the-Art of DfT and BIST


Logic Overhead
Test MUX

Din S in S
en

0 1

DFF
D

Dout

out

CLK
Figure 1.3.: Scan ip-op with test-logic overhead (dashed box)

can be detected by static tests. Fault modeling is complex for this class of defects as failures are parametric and so is testing, touching problems of analog test. Additional test methods like at-speed or quiescent current (IDDQ) testing have to be used to nd these defects [SCP+ 99, SH04]. However, the high leakage currents in VDSM technologies reduce the sensitivity of IDDQ tests. At-speed tests are difcult to implement due to lacking automated tool support. For these reasons, functional tests are used increasingly to improve test coverage or for speed binning.

Analog Structural Test

DOT has worked very well for digital circuits for more than 25 years now, and the idea of using a similar approach for analog ICs was and is very appealing. However, the rich parameter space of analog circuit design does not allow a reduction of complexity similar to digital circuits. While simple Boolean logic and register-transfer level abstractions are working ne for digital systems, a state-ofthe-art BSIM4 analog transistor model has more than 100 principal parameters (and approx. 300 in total). Hierarchical partitioning of analog circuits is difcult and error prone as the selection of which parameters and constraints have to be passed between abstraction layers is a manual task. Even the concept of "fault coverage" which is a well accepted test quality metric for digital test is difcult to dene for analog test. Only simple open/short defects lead to signicant performance degradations of the analog DUT that can be detected easily; these faults are classied as catastrophic or hard faults. Analog and especially RF circuits usually try to push the limits of the process technology. Consequently, parametric failures, i.e. a DUT performance slightly outside the specications, are far more important. Tracking these failures back to individ-

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

10

1. Introduction

ual defects is daunting, dening limits for the corresponding parametric or soft faults even more so. DOT approaches are based upon detecting catastrophic and parametric faults due to defects of individual components, however, not every parametric fault or combination of parametric faults causes a parametric failure of the device. A strict application of DOT principles may therefore lead to a loss of yield for analog devices. [Mil98] gives an overview over the challenges involved with dening and nding faults for analog blocks. The effort of simulating catastrophic and parametric faults is high, requiring a large number of analog Monte-Carlo simulations to detect these faults. Hence, analog fault simulation so far is restricted to small circuit blocks like OTAs and low-order lters [GPG01], it has yet to nd its way into industry. An automatic RF structural test seems even more unlikely for the near future, given the difculties of accurate "normal" RF simulations, except for low-complexity devices like LNAs [KDCM04]. A similar procedure for complex mixed-signal building blocks like PLLs or ADCs has not been published yet and does not seem feasible in the near future. A structural PLL test presented in [MCAS05] e.g. only covers some charge-pump related catastrophic defects. In contrast to digital ICs, there is also no solution in sight for monitoring and controlling internal analog nodes without signal deterioration. Analog scan chains were an attempt to adapt the hugely successful digital scan design techniques: [Wey90, SW98] suggest a chain of sample and hold ampliers as an analog shift register for this purpose. Limited scan chain length due to accumulation of errors, the large area overhead (one opamp and a sampling capacitor per stage) and the restriction to near-static signals have so far limited the practical use of this technique. Analog test buses and multiplexers for controlling and monitoring analog nodes [Wur93] are used to some extent in products, though mainly for quasi-static signals like bias currents. As there is no tool for scan insertion like in the digital domain, a manual selection of the analog nodes of interest is required as well as careful analog design to avoid performance deterioration due to the loading of internal nodes.

1.2.3. Functional Test


On a rst glance, functional testing seems to be more economical than structural testing because only modes that are important for the customer need to be tested. However, given the multitude of operation modes and input values of SOCs, the duration for an exhaustive functional test would be forbiddingly long. Addition-

Christian Mnker

March 10, 2010

1.2. State-of-the-Art of DfT and BIST

11

ally, the task of nding a sufcient and not too redundant set of test vectors is very time consuming with little potential for automation. For these reasons, functional test for complex chips is often hierarchical, i.e. the device under test (DUT) is partitioned into several smaller circuits under test (CUT) that are veried individually using functional and / or structural test. Microprocessors are an example where functional test is applied to test the maximum speed of critical blocks, but the overwhelming part of test coverage is achieved by structural test. Analog or RF ICs are a different matter: the lack of a feasible DOT for analog and RF blocks leaves no alternative to specication oriented test (SPOT).

1.2.4. Alternate or Translation Test


Translation test is related to functional test, it translates an on-chip performance parameter like a signal amplitude to a proportional DC-voltage or a frequency [SK93], requiring precise, linear on-chip converters. Alternate test is a more general approach; the translated values do not need to have a direct or linear relationship to the performance parameter. In order to achieve a strong correlation between test response and specication parameter, suitable test stimuli have to be constructed. A single-tone stimulus with a frequency of e.g. 2 f3dB is much more efcient than a noise signal to characterize the -3 dB frequency of a lowpass lter. An alternate test for RF frontends has been developed [Gop05] that deploys a wideband current sensor for on-chip signal monitoring. [AC04] deploys subsampling and a noise reference to extract signal features related to harmonic distortions of RF building blocks (hence dubbed "feature extraction"). A strong correlation eases extraction of pass/fail criteria from the test response but still requires substantial on-chip or off-chip computing power, limiting this method to low-complexity, near-linear blocks like an LNA [CLM+ 07].

1.2.5. Loop-Back Test


Originally, loop back test is a concept for testing ADCs and DACs by reusing analog on-chip resources for BIST purposes. The converters are operated backto-back in such a way that stimulus generation and response analysis can be performed entirely in the digital domain. Loop-back test is very appealing due to its low hardware overhead and the possibility for a fast system check, how-

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

12

1. Introduction

ever, several inherent limitations render a loop-back test impractical for many applications: Checking two unveried block against each other may mask errors. Prior testing of the higher performance block using ATE or additional BIST components [Li04] may be a workaround to this problem but reduces the efciency of the loop-back approach. "Performance" is a multi-faceted parameter, comprising dynamic range, sampling speed, differential and integral nonlinearity (DNL / INL), intermodulation distortions etc. One of the converters has to be superior to the other in all aspects which is unlikely for high-performance components. Inserting analog multiplexers into the signal path to close the loop brings the risk of performance deterioration. Loop-back is an integral system test that provides no information about the cause of the failure which is needed for yield improvement in volume production. The technique of re-using the on-chip receiver (RX) path to mix down and demodulate the transmitter (TX) signal in RF transceivers is dubbed RF loop back test. Some additional problems make a loop back test at RF even harder to implement: On- and off-chip crosstalk due to RX and TX running at the same frequency degrades accuracy. In time-division multiple access (TDMA) systems like GSM or Bluetooth, RX and TX often cannot operate simultaneously because there is e.g. only one local oscillator shared between RX and TX path or because power consumption would be too high. RX and TX frequency range in frequency division duplex (FDD) systems (all major cellular and short-range communication standards) do not overlap. Hence, one of the two blocks has to run outside the standard operation range during test, requiring the design of an extended frequency range. Additionally, the test results do not reect the real operation case. Due to these reasons, loop-back test cannot be applied for most RF systems.

Christian Mnker

March 10, 2010

1.2. State-of-the-Art of DfT and BIST

13

1.2.6. Built-In Self-Test


In order to improve test efciency, built-off self-test1 (BOST) and built-in selftest (BIST) have been developed as DfT techniques for both structural and functional test. The target of BIST / BOST is to minimize ATE requirements by reducing volume and bandwidth of stimulus and circuit response signals. BIST achieves this task by using on-chip test-pattern generation (TPG) and output response analysis (ORA). The ORA usually generates a compact representation of the input data, the so-called signature. Pass / fail information is determined by comparing the signature to the value for the fault-free case. BOST performs the same tasks not within the chip but on the load board. This is achieved e.g. with an RF mixer to reduce the signal frequency or with a eldprogrammable gate array (FPGA) to compress digital data. In many cases, BIST circuitry can be replaced by BOST and vice versa, trading chip area against interface pins and board area. For this reason, BOST is not treated separately in this work. Another application of BIST is not regarded here: Fail-safe systems employ BIST for a continuous on-chip test during operation, switching over to a redundant unit or powering down the system in case of an error. The main drawback of BIST is that it requires more chip area and more effort during the design phase than ATE based test. Circuit partitioning and test pattern generation are mainly performed using ad hoc methods without mathematical underpinning and therefore little potential for automation. Design effort and chip area for the additional BIST blocks have to pay-off in terms of reduced test-time and tester resources and / or quality improvement. This is best achieved using digital, synthesizable test blocks which are compact and reusable, minimizing both area and design effort. Like other DfT measures, BIST is usually implemented on a block level to speed-up both test development and the test itself, with highest priority on those blocks that are hard to test otherwise. Observability and controllability for the individual building blocks is provided via a digital test bus to avoid the routing of sensitive analog signals across the chip.

Logic BIST

In 1979, the rst logic BIST (LBIST) was presented [KMZ79] using a linearfeedback shift-register (LFSR) to generate pseudo-random binary sequences
1 Also

called built-out self-test

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

14

1. Introduction

(PRBS) as test-patterns. Output response analysis and compaction was performed with a multiple-input signature register (MISR) (Fig. 1.4), implemented with an LFSR with additional parallel inputs and combined with the scan chain. This structure was named built-in logic block observer (BILBO) [KMZ79]. Test coverage and test times have been further improved since by using more deterministic test generators optimized for individual CUTs [GSHA01, Cha03].
Combinational Logic

LFSR

BIST

MISR

Start

Pass / Fail Signature

BIST Clock

Figure 1.4.: Principle of digital BIST

BIST for embedded memory blocks (MBIST) [BCW05] is a very successful variant of LBIST: The regular structure of memories facilitate the development of reusable BIST approaches; the large number of required test vector provide the economic momentum to spend additional chip area for the BIST circuitry. Security sensitive chips like chip cards are yet another application for LBIST because scan test mode is a potential security vulnerability.
Analog BIST

Fully analog BIST (ABIST) has only limited applications as low-complexity analog chips cannot afford the additional BIST chip area. High complexity devices usually feature digital signal processing which can provide more efcient TPG and ORA. Examples are [RAB97] who investigates analog output compaction for transient signals, and [LMP96] who does the same for test tones. In both cases, a signature is generated by a sampled integrator with threshold detector.
Oscillation BIST

In oscillation BIST, the CUT is recongured as an oscillator during test mode, requiring no test patterns. Failure to oscillate or a deviation of oscillation frequency indicate a defect. Oscillation test can be an elegant self-test option but

Christian Mnker

March 10, 2010

1.2. State-of-the-Art of DfT and BIST

15

works only for certain lters and ampliers [AK97, Won00]. Similar schemes might be feasible for RF blocks like low-noise ampliers (LNA) but these blocks usually are too sensitive to add parasitic loads and risk unwanted cross-coupling caused by elements not needed for operation.

Hybrid BIST

Hybrid BIST (HBIST) [Ohl91] was the rst attempt to perform a built-in self-test of ADC and DAC using simple digital signal processing: An additional LFSR generates transient pseudo-random test patterns for the DAC whose output is sampled by the ADC. A second LFSR compacts the ADC output into a signature. This concept of testing ADC and DAC "back-to-back" is similar to a loop-back test with additional on-chip stimulus generation, response analysis and signature comparison. It also has some additional drawbacks: As small parametric uctuations of DAC or ADC yield completely different signatures, this approach is problematic for production testing. Similar to LBIST, the pseudo-random test signals do not reect ADC / DAC specications, leading to losses in fault or yield coverage and long test times.

Mixed Analog-Digital BIST

The use of multi-tone TPG and ORA is a better choice for analog blocks specied in the frequency domain. It is also easier to dene metrics like the maximum amplitude of an intermodulation product for these test signals that are robust against small parametric variations. It is a specication oriented BIST as stimuli can be tailored to the CUT specications, providing for a more efcient test than pseudo-random signals. With this reasoning, multi-tone Mixed Analog-Digital BIST (MADBIST) concepts for speeding up the time consuming production tests of high-resolution ADCs and DACs were developed in 1993 [TR93b]. Similar to HBIST, both the stimulus and the response analysis are performed in the digital domain; DAC and ADC are also tested back-to-back (Fig. 4.3(a)). However, the authors managed to nd a solution to the chicken and egg problem2 of testing two unveried blocks against each other: rst, a multi-tone analog stimulus is generated on-chip for a self-test of the ADC. The analog stimulus is extracted with a one-bit auxiliary
2 In

biology, evolutionary scientists have now proved that the egg came rst [CNN06].

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

16

1. Introduction

DAC and a low-pass lter from an oversampled digital bit-stream. This leaves the auxiliary DAC and the lter as the only unveried analog circuit block and allows operation in an uncalibrated environment. In the second step, the DAC is characterized using the now veried ADC.

1.2.7. PLL BIST


Due to the complexity of conventional PLL verication, the potential reward of implementing DfT measures is high and many attempts have been made to target functional and performance verication. Some basic self-test features are implemented in most PLLs to detect catastrophic failures [BLBR04, AS07]. Pulse counting with an on-chip frequency counter is such a simple but effective digital BIST method for verifying basic PLL functionality and parameters like center frequency, frequency range and VCO loop gain [KSR00, MSMG02, MS02b, MS03, YL07]. The complex interactions within a PLL make it difcult to establish correlations between complex specication parameters (e.g. RMS jitter, closed-loop bandwidth) and simple PLL quantities (e.g. phase detector pulse width, loop lter voltage) [YL07]. In practice, it is also very difcult to measure e.g. the loop lter voltage with sufcient accuracy without deteriorating PLL performance. Hence, most successful approaches for detecting parametric failures measure the specied parameters directly.
PLL Jitter BIST

In the last years, several BIST approaches have been presented for PLLs used in clock synthesis for microprocessors or in clock-and-data recovery (CDR) for high-speed wire-bound data transmission. These applications are specied in the time-domain; signal analysis focuses on time-related parameters like timing jitter. The interest in on-chip measurement of PLL timing jitter has increased tremendously with the advent of SOC solutions for high-speed serial transceivers in chip-to-chip [CMJ+ 03] or Gigabit Ethernet [CKTM02] communication. Competitive pressure for communication products and the high costs for fast ATE helped to create the nancial momentum for developing DfT / BIST solutions. Several methods have been published that determine the cycle-to-cycle jitter from an estimation of the autocorrelation function (ACF) around t = T0 . Collecting multiple cycle-to-cycle jitter measurements yields the probability density function (PDF) and the cumulative distribution function (CDF) of the jitter. Subse-

Christian Mnker

March 10, 2010

1.2. State-of-the-Art of DfT and BIST

17

quently, the RMS cycle-to-cycle jitter can be calculated [Ros92] from the CDF. The main functions of generating and calibrating the delay and determining the auto-correlation have been implemented in different ways:

Figure 1.5.: PLL BIST for measuring cycle-to-cycle jitter [SR99]

The "Fluence PLL BIST" method [SR99, SR02] utilizes a programmable digital delay line and a phase detector for estimating the auto-correlation function (ACF) of the PLL signal (Fig. 1.5). Cycle-to-cycle jitter is measured by counting all events where original and delayed signal differ and slowly sweeping the delay. The digital delay line is calibrated by operating it in a self-oscillating mode and measuring the frequency. This method is applied commercially as it requires only little hardware and no precision components. Variations of this method utilize a coincidence detector [VB03] or a phase detector with programmable dead time [Fet05] instead of the simple phase detector. Vernier delay lines [Kal04] can also be used to measure the instantaneous period or the phase error in a PLL [SOE01, CR04]. However, the long latency of vernier based measurements limits the frequency resolution to relatively low frequencies and requires long measurement times. The solution presented in [HS08] needs more than 3 s to generate an jitter histogram on-chip with a resolution of 1 ps. Measuring k-cycle jitter for many different values of k [Kun05] reveals spectral properties of the jitter at the cost of even longer measurement times. Additionally,

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

18

1. Introduction

vernier circuits are large and complex, requiring precision analog components. However, for digital PLLs utilizing vernier based time interval measurements (time-to digital converter, TDC) [MS07], jitter information can be gathered with little overhead [EBSB07]. For testing the bit-error rate (BER), receiver and transmitter are connected in loop-back mode, sent and received bits of a pseudo-random binary sequence (PRBS) are compared for errors. Loop-back test is feasible, because receiver and transmitter of wire-bound systems operate at the same frequency and with similar signal levels. However, verication of very low BERs requires excessive measurement times; [SR07] proposed measurement of the PLL RMS jitter instead, using subsampling with a slightly offset frequency.
Frequency Domain PLL BIST

In contrast to time-domain specied PLL Jitter BIST, there are bare to none approaches for a PLL BIST in the frequency domain: PLLs in wireless systems are specied in the frequency domain (frequency response, phase noise, spurious sidebands) and should be tested accordingly. Calculating frequency domain specications from time-domain measurements is possible but very inefcient with long measurement times due to the required large number of jitter measurements. Several publications try to assess the PLL performance at the output of the phasefrequency detector (PFD) because this is a digital, comparatively low-frequency signal. For high-performance PLLs in wireless systems, this is a dangerous approach: The relative phase error of the VCO signal appears divided by N, making it more difcult to quantify the signal error at this node in practical implementations. The output of the PFD is also a very sensitive node in the PLL; disturbances introduced at this node appear multiplied by N at the VCO output. Due to these reasons, most publications, e.g. [ABM+ 09] only present simulation results.

Figure 1.6.: Self-calibrated on-chip phase noise measurement circuit [KBK07]

Christian Mnker

March 10, 2010

1.3. Goals

19

In contrast, [VGKB+ 07] presents a phase noise BIST based on a tunable delayline and mixer achieving a measured sensitivity of -75 dBc at 100 kHz offset at the cost of an additional 0.5 mm2 of analog building blocks in a 0.25 m technology. Using the same area in the same technology node, [KBK07] achieves a single-tone sensitivity of -75 db using a self-calibrated delay-line (Fig. 1.6).

1.3. Goals
Todays RF SOCs require multiple test insertions, i.e. production test on specialized digital, RF and sometimes also mixed-signal automated test equipment. Power and speed of digital testers have to increase with the growing digital complexity of RF SOCs providing ever more features and media support. This means, in the long run, test costs can only be minimized by performing all production tests on a single digital tester, eliminating RF and mixed-signal ATE. However, efcient DfT concepts for RF Systems-On-Chip (SOC) are amiss, as shown in the last section. RF PLLs are among the most troublesome building blocks on RF SOCs as important signals like RF output or tuning voltage are usually unaccessible from outside. The reduced testability slows down production test of the whole device under test (DUT). Consequently, this work starts the improvement of RF SOC testability at the RF PLL. The focus is on -modulated RF PLLs (PLLs) as they have become the industry standard for RF synthesis and offer convenient digital modulation capabilities. PLLs are not only hard to test, the tight interaction between digital blocks (e.g. -modulator) and analog blocks (e.g. VCO and loop lter) is also very hard to simulate, especially when the noise performance is important. Usual mixedsignal, RF or digital simulators do not provide the required simulation performance out-of-the-box, therefore, a new modeling and simulation methodology is needed to complement standard simulators. As the complexity of PLLs will not allow structural test in the near future, this work will focus on functional DfT enhancements on block level. In contrast to system level tests, block level tests help to improve yield and the portability of building blocks like the PLL. The reuse of e.g. central on-chip DSP resources for computationally intensive test routines would require the routing of high-speed signals across the chip and hinder concurrent testing of function blocks. It also complicates test program development as otherwise unrelated building blocks have to be synchronized. Ideally, the block level tests should be autonomous, require no external mea-

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

20

1. Introduction

surement equipment and pass only a few parameters or signatures back to the tester to minimize test program development effort and ATE requirements. A BIST solution is favored over BOST approaches as self-test and self-calibration features of the fully assembled device are getting more and more customer focus. Catastrophic and important parametric faults have to be detected quickly and reliably. As RF PLLs are specied in the frequency domain, key spectral parameters have to be tested on-chip with the most important PLL parameters being loop bandwidth, in-band noise and modulation mask test. The verication of out-of-band phase noise is not targeted here as a sensitivity better than -129 dBc (TX band) resp. -165 dBc (RX band) requires further work and an optimized RF design. The additional test circuits have to be synthesizable digital blocks with only a minimum of analog circuitry to avoid yield reduction; a digital approach also benets most of the high integration densities of modern technologies and enables an easy reuse path for future chip generations. Only minimal modications to critical RF and analog paths should be made to avoid performance degradations. PLL BIST has to be implemented during the design phase and may require substantial chip area and design resources. These resources have to be compensated for by reduced test-time or improved testability. Typically, a test time reduction of 200. . . 500 ms for every 0.1 mm2 of additional chip area needs to be achieved with the exact break-even point depending on technology, production volume, tester cost etc. The goal of this work is to develop the next step on the roadmap to RF SOCs that are fully testable on a digital tester, namely, an autonomous RF PLL self-test that is controlled entirely via low-speed digital interfaces. It is organized in the following way:
Chap. 2 reviews the underlying theory. Chap. 3 describes the CUT, the PLL, and how it is integrated into the DUT,

a direct conversion transceiver.


Chap. 4 develops test concept and simulation strategy for RF PLLs. Chap. 5 deals with on-chip stimulus generation. Chap. 6 handles the PLL output response analysis. Chap. 7 describes the SP-BIST implementation on a chip together with the CUT

and analyzes measurement results.

Christian Mnker

March 10, 2010

What gets us into trouble is not what we dont know. Its what we know for sure that just aint so.

Mark Twain

Fundamentals
Some concepts are reviewed that are used heavily throughout this work, specically angle modulation, discrete time signal processing, sigma-delta modulation and digital resonators.

2.1. Conventions
2.1.1. Symbols
The following symbols have been adopted throughout this work for denoting continuous-time (CT) / discrete-time (DT) signals and terms: Time is denoted by t, period by T Frequency is denoted f , angular frequency = 2 f and complex frequency s = + j

Continuous-time and continuous-value functions have no special prescript or subscript, e.g. h(t) Capital symbols refer to frequency domain functions, i.e. h(t) vs. H( f ) or H(z)

22

2. Fundamentals
Symbols referring to DT terms are denoted by the prescript D as in f TS Normalized frequencies are written in capital letters, i.e. F, , S In the DT domain, (angular) frequency is normalized w.r.t. the sampling frequency fS , in the CT domain w.r.t. a center or corner frequency fc Quantized terms have a subscript Q, e.g. a1,Q
Df

The DT systems regarded in this work operate at uniform sampling intervals and are shift-invariant1 , permitting the use of frequency domain signal-ow diagrams where the unit delay is represented by the symbol z1 .

2.1.2. Denitions
The following denitions have been taken from [Joi08], terms in round brackets are additions by the author:
Accuracy: Closeness of agreement between a measured quantity value and a

true quantity value of a measurand


Precision: Closeness of agreement between measured quantity values obtained

by replicate measurements on the same or similar objects under specied conditions


Uncertainty: Non-negative parameter characterizing the dispersion of the quan-

tity values being attributed to a measurand (usually measured as standard deviation)


(Measurement) Error: Measured quantity value minus a reference quantity

value
Bias: Estimate of a systematic measurement error, i.e. component of measure-

ment error that in replicate measurements remains constant or varies in a predictable manner
Resolution: Smallest change in a quantity being measured that causes a percep-

tible change in the corresponding indication


Reproducibility: Measurement precision under reproducibility conditions of

measurement (e.g. repeated measurements on different testers) See also Fig. 4.4 for a visualization of accuracy and precision.
1 Similar

to LTI systems in the CT domain

Christian Mnker

March 10, 2010

2.2. Angle Modulation

23

2.2. Angle Modulation


The topic of angle modulation is especially important for this work for two reasons:
Signal: The measurement principle described in this work is built upon fre-

quency modulation and demodulation of the device under test. Additionally, the DUT utilizes angle modulation for signal transmission.
Noise: In a VCO, voltage and current noise in resistive and active elements are

converted into phase uctuations by a combination of additive and nonlinear processes [LH00, RA00]. Amplitude deviations are usually suppressed resp. converted to phase or frequency noise by some form of amplitude gain control or limiting in the oscillator. For this reason, the quality of the carrier signal for signal transmission in wireless system is usually specied in terms of phase ("phase noise", "phase instability"), frequency ("frequency instability") or time ("time interval error jitter"), all relating to angle modulation. In the following, phase and frequency modulation are rst described in the time domain for general and for sinusoidal signals. Next, a linear approximation for small-angle modulation is derived to allow analysis in the frequency domain and different measures of angle modulation in the frequency domain are given. Finally, the effect of frequency division on angle modulation is explained.

2.2.1. Angle Modulation in the Time Domain


In systems with a xed frequency and nearly constant amplitude A(t) A like oscillators or digital blocks, nearly all noise power Pn near the carrier can be contributed to random phase uctuations n (t). For this work, it is assumed that amplitude noise contributions Pn,A are suppressed by amplitude control or limiting, i.e. Pn = Pn,A + Pn, Pn, in the frequency range of interest. The output signal of such a system can be approximated by a purely angle modulated signal s(t) with carrier frequency f0 and a constant amplitude A (2.2.1): s(t) = A(t) cos (2 f0t + (t)) A cos (2 f0t + (t)) = A cos i (t) (2.2.1)

where (t) is the phase deviation from the nominal phase 2 f0t. As an angle modulated signal (2.2.1) has a constant envelope, its power is always P = A2 /2. The sum of nominal (linear) phase and phase deviation is the instantaneous phase

i (t) = 2 f0t + (t) .

(2.2.2)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

24

2. Fundamentals

Relative frequency deviation y(t) from the nominal frequency f0 is dened by y(t) := fi (t) f0 f (t) 1 d (t) = = f0 f0 2 f0 dt with [y] = 1 .

Its derivative is the instantaneous frequency fi (t) (2.2.3). fi (t) is the sum of nominal frequency f0 and the frequency deviation f (t). Both i (t) and fi (t) are hypothetical values that cannot be measured directly. t 1 di (t) i (t) = 2 fi ( )d fi (t) = 2 dt t 1 d (t) (2.2.3) f ( )d = 2 f0t + 2 = f0 + 2 dt = f0 + f (t) = 2 f0t + (t) (2.2.4)

When the message or modulating signal mPM (t) and the phase deviation PM (t) = kPM mPM (t) have a linear relationship, the modulation is called phase modulation (PM) and kPM phase modulation gain. The resulting instantaneous frequency and phase and frequency deviation are given in (2.2.6). The frequency deviation of PM is proportional to the differential of the modulation signal, hence it is also proportional to the modulation frequency fm (for sinusoidal modulation). PM (t) = kPM mPM (t) 1 dPM (t) kPM dmPM (t) fi,PM (t) = f0 + = f0 + (2.2.5) 2 dt 2 dt kPM dmPM (t) yPM (t) = 2 f0 dt

When modulation signal mFM (t) and the frequency deviation fFM (t) = kFM mFM (t) are linearly related, the modulation is called frequency modulation (FM), yielding the instantaneous frequency and the phase and frequency deviation in (2.2.6). kFM is the frequency modulation gain. Phase deviation of FM is inversely proportional to the modulation frequency for sinusoidal modulation as it is created by the integral of the modulation signal. fi,FM (t) = f0 + fFM (t) = f0 + kFM mFM (t) fFM (t) kFM mFM (t) yFM (t) = = (2.2.6) f0 f0 t t mFM ( )d FM (t) = 2 fFM ( )d = 2kFM

A comparison of (2.2.5) and (2.2.6) reveals that FM and PM cannot be distinguished from the modulated signal: A phase modulation with mPM (t) and a frequency modulation with mFM (t) produce the same phase deviation under the

Christian Mnker

March 10, 2010

2.2. Angle Modulation


condition mPM (t) = 2 kFM kPM

25

mFM ( )d .

(2.2.7)

This relationship is utilized in the device-under-test (Sec. 3.2) as indirect PM where the carrier is frequency modulated by the differentiated modulation signal.

2.2.2. Sinusoidal Angle Modulation


In the following, the special case of sinusoidal frequency modulation is described that can be solved in closed form in contrast to most other modulation cases: A carrier, frequency modulated by a sinusoidal modulation signal mFM (t) = Am,FM cos mt has the phase and frequency deviation shown in (2.2.10) - (2.2.9). fi,FM (t) = f0 + fFM (t) with fFM (t) = kFM Am,FM cos mt yFM (t) = f (t) fFM = cos mt = y cos mt f0 f0
t

(2.2.8) (2.2.9) (2.2.10)

FM (t) = 2

fFM ( )d =

kFM Am,FM sin mt fm


:=

The ratio of peak frequency deviation f and modulation bandwidth Bm (= fm in this case) for analog modulation signals2 is called frequency modulation index3 f (2.2.11) [VDP30]. Another common measure is the peak normalized frequency deviation y (2.2.11): y := f f0 and

f :=

kFM Am,FM f f = = = Bm fm fm

(2.2.11)

with f = 1

and

= 1 rad,

(2.2.11) also shows that the FM modulation index has the same value as the peak phase deviation measured in rad. As derived in (2.2.7), a PM signal mPM (t) creates the same modulated signal sFM (t) = sPM (t) = s(t) as an FM signal mFM (t)
2 The

modulation index of digital modulation signals is usually dened as the maximum phase deviation over one symbol period. 3 Phase modulation index is dened in a similar way by the ratio of peak phase deviation and p modulation signal bandwidth.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

26 when

2. Fundamentals

s(t) = A cos (0t + (t)) = A cos 0t + sin mt mPM (t) = 2 and Am,PM = kFM kPM
t

with

mFM ( )d = Am,PM sin mt (2.2.12)

f Am,FM kFM f = = = . kPM fm kPM fm kPM kPM

In general, the spectrum of angle modulated signals cannot be given in closed form. However, sinusoidal and small-angle (see below) phase modulated4 signals can be expanded into an innite sum of cosine signals, (2.2.13), allowing easy calculation of the spectrum. s(t) = A cos (0t + (t)) = A cos 0t + sin mt =A

n=

Jn ( ) cos (0t + nmt)

(2.2.13)

where Jn ( ) are Bessel functions of the rst kind with integer order n. When the angle modulation consists of multiple tones, results get much more complicated. For the case of FM with two sinusoids, Bessel expansion yields (2.2.14) [Sch], containing all kind of intermodulation products between the two modulation frequencies. mFM (t) = Am1,FM cos m,1t + Am2,FM cos m2t FM (t) = 2
t

kFM mFM (t) kFM Ami,FM fmi

= 1 sin m1t + 2 sin m2t with i = sFM (t) = A cos (0t + FM (t))

= A cos 0t + 1 sin m1t + 2 sin m2t

=A

l=

Jl (1 )

n=

Jn (2 ) cos (0t + l m1t + nm2t)

(2.2.14)

4 FM

signals rst have to be transformed into the PM form using (2.2.7).

Christian Mnker

March 10, 2010

2.2. Angle Modulation

27

2.2.3. Small-Angle Approximation


Closed-form analysis of most angle modulated signals is either impossible or gives only limited insight as in (2.2.14). However, (2.2.13) can be simplied for small modulation angles < 0.25 rad: The carrier amplitude is attenuated by less than two percent, J0 ( ) 1. Only the rst sidebands have relative amplitudes exceeding one percent and can be approximated by J1 ( ) /2. Higher order sidebands are neglected, J|n|>1 0, yielding the small angle approximation (2.2.15): sFM (t) A cos 0t = A e j0 t

cos (0t mt) 2


1+

(2.2.15) (2.2.16)

jm t jm t e e 2 2

Its complex phasor form (2.2.16) is visualized in Fig. 2.3. Except for the phase of of the lower sideband, small-angle approximation takes the same form as amplitude modulation, i.e. it can also be described as a linear modulation.

2.2.4. Bandwidth of Angle Modulation


(2.2.15) shows that small-angle frequency modulated signals occupy a bandwidth of BFM 2Bm = 2 fm (Fig. 2.1a). Hence, this case is also called narrowband approximation. In contrast, large-angle FM with 1 generates many tones around the carrier, spaced by fm (Fig. 2.1b). Their amplitudes, given by (2.2.13), decrease rapidly for offsets f > f . The resulting bandwidth is BFM 2 f . For most practical applications, only the N99 sidebands required for transmission of 99% of the normalized signal power PFM are regarded:
+N99 n=N99

2 Jn ( ) = 0.99

(2.2.17)

The required number of sidebands directly depends on the modulation index, N99 + 1, leading to the approximation for FM bandwidth known as Carsons bandwidth rule (2.2.18) that applies for small and large-angle FM [Vid05]. BFM 2 + 1 fm = 2 f + fm (2.2.18)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

28
Sy (f)
(b)

2. Fundamentals
SFM (f) SFM (f)

BFM

BFM

(a) f fm

(a) f0 +f m

(b)

f
f0 +f m

Figure 2.1.: One-sided spectra of frequency deviation Sy,FM ( f ) and signal SFM ( f ) for small-angle (a) and large-angle (b) sinusoidal FM

2.3. Phase Noise Metrology

In this work, spectra are represented using only positive frequencies (one-sided) as spectra of real-valued signals are symmetric around f = 0 (Fig. 2.2). The power spectral density (PSD) of the one-sided representation has to be twice as large as the two-sided representation to obtain the same total signal power. This must not be confused with single and double-sideband (SSB / DSB) representation: Spectra of modulated carriers are symmetric around the carrier at f = f0 for real baseband signals and pure amplitude (even symmetry) or phase/frequency modulation (odd symmetry). In contrast, complex baseband signals and combined amplitude / phase modulation schemes produce both odd and even components, yielding asymmetric sidebands. In the following, it is assumed that the signal under analysis is only angle-modulated in the frequency range of interest. In practical VCOs and PLLs, this is achieved by some form of amplitude control or limiting. The upper sideband contains signal components above the carrier frequency, f0 + fm , signal components below the carrier frequency, f0 fm , constitute the lower sideband. The frequency variable fm denotes the modulation frequency or offset frequency from the carrier, also called Fourier frequency. In the frequency domain, signals are usually specied by power spectral densities (PSD). The PSD S( f ) of a signal resp. process s(t) is obtained by the Fourier

Christian Mnker

March 10, 2010

2.3. Phase Noise Metrology

29

|S 0 (f)| A /2
2 2 f A /8 2

|S 00(f)| A /4
2 2 f A /16 2

A N0 /8

A N0 /4

f0fm f 0

f0+f m

f0 f0 +fm Double sided

f0

f 0 +f m

Single sided

Figure 2.2.: One-sided and two-sided spectra of the signal s(t)

transform of its auto-correlation function (ACF) ss ( ) [Lk85]

ss ( ) S( f ) with ss ( ) = s(t)s(t + ) = lim


= 1 T1
T1 0

(2.3.1) 1 T 2T
T T

s(t)s(t + ) dt

(2.3.2)

s(t)s(t + ) dt for T1 periodic signals and 0 T1 .

ACF and one-sided PSD of a sinusoidal signal s(t) = Am sin mt are easily derived from (2.3.2):

ss ( ) =

A2 A2 m cos mt S( fm ) = m ( fm ) with 0 fm < 2 2

(2.3.3)

A similar calculation yields the PSD of the small-angle FM signal (2.2.15): sFM (t) A cos 0t

A narrowband noise signal can be described by white noise with PSD N0 , ltered by a narrow bandpass with center frequency fm , bandwidth B and gain H( fm ), ACF and PSD of , [Lk85, p. 193f] are:

A2 |SFM ( f )| ( f0 ) + 2

cos (0t mt) 2 2


2

( f0 fm )

(2.3.4)

nn ( ) = 2N0 BH( fm ) sinc(B ) cos(2 fmt) 2N0 BH( fm ) cos(2 fmt) for B 1
Sn ( fm ) 2N0 BH( fm ) ( fm ) with 0 fm < (2.3.5)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

30

2. Fundamentals

(2.3.3) and (2.3.5) show that a sinusoid with frequency fm and a narrowband noise signal centered at the same frequency have the same ACF and PSD when Ps = A2 m = 2N0 BH( fm ) = Pn . 2

On average, the narrowband noise signal can be represented by a sinusoid with the same power. Due to the linearity of small-angle modulation, the spectrum created by general modulation signal with spectrum H( f ) can be calculated using superposition. The FM spectrum of a signal with phase deviation (t) can be calculated from its Fourier transform ( f ): The spectrum due to each individual frequency component can be approximated by (2.2.15) as long as the (linear) small angle approximation is valid, yielding the complete spectrum by superposition (2.3.7).

FM (t) = 2kFM
FM ( f ) =

mFM ( )d (2.3.6) (2.3.7)

MFM ( f ) jf

for MFM (0) = 0 MFM ( fm ) 2 fm


2

|SFM ( f0 + fm )| 2 ( fm ) = P 4
S(f)
A /2 A /8
2 2 2

f0fm

f0

f0+fm

(t )

m + m
A/ 2

/ 2
(t ) + m

0
(a)

A (b)

A = A / 2

Figure 2.3.: Phasors for small-angle PM/FM, DSB (a) and SSB (b) representation

Phase uctuations can be measured by two fundamentally different procedures, either by direct spectral analysis of the signal or by prior demodulation, also

Christian Mnker

March 10, 2010

2.3. Phase Noise Metrology

31

called discrimination. These procedures are related to SSB and DSB representation of the modulation [Pla00, p. 189ff]:

2.3.1. Double-Sideband Representation


Spectra of angle-modulated signals can be obtained from measurements of the phase resp. frequency deviation, requiring demodulation of the signal. Practical measurements use detectors with an output voltage that is proportional to the phase or frequency deviation. The deviation is measured against an external reference or a copy of the signal itself (self-referenced). The amplitude of the carrier is either suppressed (clipping detectors) or needs to be eliminated from the results by calibration [Pla00].
Phase Instability

Phase instability S ( fm ) is dened as the one-sided PSD of the phase deviation (t) ( fm ). 2 ( f m ) (2.3.8) S ( fm ) = 2 S ( fm ) has the unit rad2 /Hz. The pseudo-unit dBrad / Hz is dened in this work to express S dB ( fm ) in a convenient logarithmic scale: S dB ( fm ) (dBrad / Hz) := 10 log S ( fm ) rad2 /Hz (2.3.9)

Strictly speaking, "per Hz" relates to the argument of the logarithm instead of the logarithm itself - doubling the bandwidth does not give twice the dBrad / Hz value. However, this sloppy use is widely adopted in literature, especially in conjunction with phase noise (see below) and is adopted here as well. The phase instability S ,FM ( fm ) of a signal sFM (t) frequency modulated by mFM (t) is derived from (2.2.6):

FM (t) = 2kFM
|( fm )| = kFM

mFM ( )d for M(0) = 0 for M(0) = 0 (2.3.10)

MFM ( fm ) fm 2 MFM ( fm ) 2 S ,FM ( fm ) = kFM 2 fm

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

32

2. Fundamentals

The phase instability of sinusoidal FM (2.2.12) is derived in (2.3.11). kFM Am,FM sin mt = sin mt fm 2 2 ( f m ) f 2 ( fm ) = ( fm ) S ,FM ( fm ) = = 2 2 2

FM (t) =

(2.3.11)

Normalizing the PSD of a small-angle modulated signal (2.3.4) with respect to its power P = A2 /2 shows the equivalence to its phase instability (2.3.12): |SFM ( f0 + fm )| = ( f0 ) + P

( f0 fm ) = ( f0 ) +

S ,FM ( fm ) 2

(2.3.12)

Frequency Instability

Frequency instability Sy ( fm ) is the single-sided PSD of the relative frequency deviation y(t) with the unit rad2 /Hz resp. the pseudo-unit dBrad / Hz. The relationship (2.3.13) between phase and frequency instability is derived using (2.2.4) and illustrated in Fig. 2.4 for a typical PLL spectrum: Near the carrier, phase deviation S ( fm ) drops with -30 dB/dec, followed by a region of constant in-band noise. Outside the loop bandwidth, phase noise is dominated by the VCO, decreasing with 20 dB/dec. The slope of the Sy ( fm ) segments in Fig. 2.4 is 2 20 dB/dec larger than the segments of S ( fm ) due to the fm term in (2.3.13). Sy ( fm ) =
2 fm S (f ) 2 m f0

(2.3.13)

2.3.2. Single-Sideband Representation


A single sideband of an purely angle-modulated signal with carrier frequency f0 can be downconverted to an intermediate frequency with a mixer in one or more stages (Fig. 6.1). Fig. 2.3 shows that this restriction to a single sideband converts half of the phase uctuations to amplitude uctuations with A = A /2. This phase-to-amplitude conversion enables measurements in the amplitude domain. The SSB amplitude noise power after conversion is A2 2 /4. The ratio of this SSB noise power (due to phase uctuations only) to the total signal power (carrier and sidebands) in a 1 Hz bandwidth is called phase noise

Christian Mnker

March 10, 2010

2.3. Phase Noise Metrology

33

S(f)

L (f)
S (f)/2
1 Hz 1 Hz

Sy (f)
1 Hz

fm a)

f
b) fm

f f1
c) fm

f f1

f0 f0+fm f1

Figure 2.4.: PSD of signal S( f ) (a), phase noise L ( f ) and phase deviation S ( f ) (b) and frequency deviation Sy ( f ) (c)

L ( fm ). It is usually specied in the pseudo-unit dBc / Hz, i.e. dB relative to the carrier. The same caveats apply for the use of this pseudo-unit as explained above for phase instability.
f0 + fm +1 Hz

L ( fm ) =

Pn, ( f0 + fm ) = Ptot

f0 + fm

S( f )d f

Ptot S ( fm ) S( f0 + fm )/2 1Hz A2 /2 2

(2.3.14)

Note: Recent standards [IEE08] redene phase noise via the phase instability as Lnew ( fm ) S ( fm )/2 . (2.3.15)

This denition avoids the small angle limitation of the conventional phase noise denition (2.3.14) that is no longer valid near the carrier. Both denitions yield identical values (but not units!) for small phase deviations when the small-angle approximation (2.2.15) is valid, Lnew ( fm ) L ( fm ). Note: This work does not address the important issue of how the impact of the inevitable amplitude noise in active and passive components upon the oscillator phase uctuations can be minimized. To some extent, the amplitude noise is independent of the oscillator amplitude (most obvious for additive noise). Hence, one well-known design strategy is to maximize the VCO amplitude in order to minimize the noise-to-carrier ratio. At rst glance, there seems to be a contradiction to (2.3.14) and (2.3.15) which claim that the phase instability does not depend on the carrier amplitude. However, the denition of phase noise contains the single-sideband noise power that is related to the phase instability via the small signal approximation.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

34

2. Fundamentals

The relationship between different measures for phase / frequency stability is demonstrated for the example of the reference spur from Fig. 4.9 with f = 265 Hz, fm = 26 MHz and f0 = 3.434 GHz : y= f y2 = 145.2 dBrad/Hz = 7.72 108 Sy ( fm ) = f0 2 f y f0 = = 1.02 105 fm fm

f = =

S ( fm ) = Sy ( fm )

2 f0 2 = = 102.8 dBrad/Hz 2 fm 2 S ( fm ) and L ( fm ) = = 105.8 dBc/Hz 2

(2.3.16)

GSM applies a form of PM where the modulation signal is ltered using Gaussian minimum shift keying (GMSK) for high spectral efciency. The modulation bandwidth Bm is determined by the GSM specications: The symbol rate is 1/Tsym = 270.833 kbit/s, the ratio of modulation bandwidth and symbol rate is specied as Bm Tsym = 0.3, resulting in a modulation bandwidth of Bm = 0.3/Tsym = 81.25 kHz. Maximum frequency deviation is derived from the specied modulation index f = 0.5:

f = 2 f Tsym

f = 1/4Tsym = 67.71 kHz

2.3.3. Frequency Modulation and Division


When the frequency of an FM signal is divided by N, a phenomenon is observed that is well known in PLL and RF design: The carrier frequency is reduced by a factor of N as expected, but the distance of the sidebands from the carrier remains unchanged. This effect is independent from the physical implementation (e.g. a digital divider or an analog mixer) and is best explained in the time domain: The positions of the FM signal edges relative to the unmodulated position (the phase) are modulated in time. Removing e.g. every other edge (division by 2) does not change the "rhythm" of the modulation as long as the removing of the edges does not create aliasing. This condition is fullled for narrowband modulation where the spectral components of the sidebands have a much lower frequency than the carrier. The absolute timing uctuation of the edges is not changed by division. However, as the carrier period is increased by N, the amount of uctuation relative to the period (phase deviation or relative jitter) is decreased by the same factor.

Christian Mnker

March 10, 2010

2.3. Phase Noise Metrology

35

These effects can be demonstrated for the case of a carrier with frequency 0 , frequency modulated by a sine wave of frequency m 5 , creating a peak frequency deviation and a peak phase deviation = /m . sFM (t) = A cos 0t + The signal has an instantaneous phase i (t): sin mt m

i (t) = 0t +

sin mt m

(2.3.17)

After division by N, instantaneous phase i,N (t) and frequency i,N (t) are: i (t) 0t = + sin mt N N N m i (t) di,N (t) 0 + cos mt i,N (t) = = = N dt N

i,N (t) =

(2.3.18) (2.3.19)

For = /m 1, small-angle approximation (2.2.15) can be used to analyze the effect of division upon the sidebands: sFM,N (t) = A cos

0t sin mt + N N m 0t 0 cos m t A cos N 2N m N

(2.3.20)

(2.3.20) shows: Carrier frequency f0 , peak phase and frequency deviation, and f , are reduced by N Relative frequency deviation y(t) = f (t)/ f0 remains unchanged Sidebands still are a distance of fm from the carrier The level of the sidebands are reduced by N and hence the power of modulation sidebands and phase noise L ( f ) are reduced by N 2 (when the carrier amplitude A remains unchanged) Note: This simple analysis works only for narrowband modulation. In general, the division should be regarded as a subsampling process [Ter05] that folds back wide-band noise into the baseband.
5 This

could also be a narrowband noise signal.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

36

2. Fundamentals

2.4. Spectral Estimation of Simulation Data


The goal of spectral estimation is to describe the spectral power distribution of a signal, based on a nite set of data. This section reviews the theory of spectral analysis using periodograms.

Power Spectral Density Estimation

The power spectral density (PSD) of a stochastic process X is dened by the Fourier transform of its auto-correlation function (ACF). A random discrete signal xN with nite length N can be seen as a realization of X from which its true ACF and PSD can only be estimated. It can be shown that the magnitude squared Fourier transform of xN , a so called periodogram, is an estimation for the true PSD of X [KK06]. Calculating the periodogram of a long sequence xN is very computationally intensive which is avoided by averaging the periodograms of K shorter slices xLi of length L < N (Fig. 2.5). An averaged periodogram [PM92] reduces the variance and spectral resolution of the estimate by the factor K at the same time.
0 L-1 L x 0 [k] L 2L-1 N-1-L N-1 x [k]
N

x 1 [k] L

xK-1 [k] L

Figure 2.5.: Basic slices of the signal xN

The relationship between spectral resolution and variance is improved by Welchs method [Wel67] of averaging modied periodograms, calculated from overlapping windowed slices xLi (Fig. 2.6) 1 1 W ( ) = L1 2 [k] K k=0 w
window power

K 1 i=0

L1 k=0

2 i xL [k]w[k]e2jk

(2.4.1)

periodogram of windowed slice i

where K is the total number of slices. The averaged periodogram is normalized by the power of the window function w[k] for unbiased results [KK06]. This algorithm is used in the Matlab scripts for spectral estimation of the VHDL simulations.

Christian Mnker

March 10, 2010

2.4. Spectral Estimation of Simulation Data


x 1 * w[k] L

37

x [k]
N

x0* L

w[k]

N-1

Figure 2.6.: Overlapping windowed slices of the signal xN


Narrowband and Wideband Signals

The power PS of a narrowband signal is concentrated in one spectral line and can be read directly from the display. In contrast, the power PN of a broadband signal like noise is distributed over M frequency bins. The displayed power per bin is therefore given by (2.4.2). Pbin = PN /M or Pbin [dB] = PN [dB] 10 log M (2.4.2)

The relationship between noise power density PN (specied for a bandwidth of 1 Hz) and displayed power per bin depends on the resolution bandwidth RBW of the spectral estimation: Pbin = PN RBW or Pbin [dB] = PN [dB] + 10 log RBW /1 Hz with RBW = BN B/M

(2.4.3)

where BN is the equivalent noise bandwidth expressed in bins (see next section) and B is the total bandwidth. For an NFFT -point FFT with a rectangular window, BN = 1, B = fS /2 and M = NFFT /2, resulting in RBW = fS /NFFT .
Extracting Noise and Phase Noise from DT Period Data

Spectral estimation of a DT sequence of amplitude values can be performed directly with the periodogram methods described above. When phase noise has to be estimated from a DT sequence of period values, produced e.g. by the simplied DT VCO model described in Sec. 4.5.2, some pre-processing is needed: The DT period values ck uctuate around the average period T0 . The cumulative sum of the periods, scaled with 2/T0 gives the DT approximation to the instantaneous phase i [N] = 2/T0 N ck . k Phase uctuations [k] = c are estimated from the instantaneous phase i [N] by k removing the linear phase 2 f0t (Fig. 2.8). This is achieved in Matlab by specifying the option "detrending" for the PSD which is performed using Welchs

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

38

2. Fundamentals

Figure 2.7.: Displayed PSD of noise signal [Kes05]


2 Tk / T0
CN

C4 C3 C1 C2

C5

Linear Detrending Remove linear phase


C1 C4 C5 C N

k
C2 C3

Figure 2.8.: Derivation of phase uctuations from the VCO periods

averaged, modied periodogram method. By default, Matlab uses a Hamming window with an equivalent noise bandwidth of BN = 1.37 bins [Har78] and scales the PSD with 1/BN to give a correct result for wideband signals. This is reversed in the Matlab routine below for proper display of singles tones. The factor is included in the reported resolution bandwidth to obtain correct noise power densities. Unfortunately, the original Matlab script taken from [Kun05] incorrectly assumes a Hann(ing) window with an equivalent noise bandwidth of BN = 1.5 bins, this value has been used through all simulations in this work. As a consequence, the resolution bandwidth and all simulated values for single tones are too large by 1.5/1.37 = 1.095 or 0.4 dB.
%% % % % % % % % % % % % % % % % % % % % % % % % % % % %%%%%%%%%%%%%%%%%%%%%%%%%%%%

Christian Mnker

March 10, 2010

2.5. Sampling and Quantization


% psd_period .m % % C a l c u l a t e Power S p e c t r a l D e n s i t y f r o m p e r i o d d a t a %% % % % % % % % % % % % % % % % % % % % % % % % % % % %%%%%%%%%%%%%%%%%%%%%%%%%%%% % % % % % Define constants % % % % % % % % % % % % % % % % % n r e a d = 1; % r e a d a l l s a m p l e s % Load Data F i l e g e n e r a t e d by VHDL S i m u l a t i o n [ p e r i o d s ] = t e x t r e a d ( p e r i o d _ d a t a . t x t , %f , n r e a d ) ; p e r i o d s = p e r i o d s / 1 . 0 e15 ; % s c a l e f o r f s N_sample = l e n g t h ( p e r i o d s ) ; % number o f s a m p l e s % C a l c u l a t e a v e r a g e and s t a n d a r d d e v i a t i o n o f p e r i o d d a t a % and phase , f i n d t h e maximum p h a s e e r r o r T_m = mean ( p e r i o d s ) ; % C a l c u l a t e a v e r a g e p e r i o d , J_m = s t d ( p e r i o d s ) ; % p e r i o d j i t t e r ( S t d D e v o f p e r i o d ) , s t d _ d p h i = J_m / T_m ; % p h a s e e r r o r ( S t d D e v o f p h a s e ) and max_dphi = max ( abs ( p e r i o d s T_m ) ) / T_m ; % max . p h a s e e r r o r n f f t = f l o o r ( N_sample / 4 ) ; % number o f FFT b i n s winLen = n f f t ; % L e t window l e n g t h = NFFT o v e r l a p = f i x ( n f f t / 2 ) ; % L e t s e c t i o n s o v e r l a p by NFFT / 2 winNBW = 1 . 5 ; % e q u i v a l e n t n o i s e b a n d w i d t h o f Hann window % C a l c u l a t e i n s t a n t a n e o u s phase v e c t o r : cum_phi = 2 p i cumsum ( p e r i o d s ) / T_m ; % C a l c u l a t e PSD w i t h l i n e a r det r e n d i n g % Data i s s p l i t i n t o N_sample / ( 2 n f f t ) s e c t i o n s [ Sphi , f ] = p s d ( cum_phi , n f f t , 1 / T_m , winLen , o v e r l a p , l i n e a r ) ; % S c a l e PSD w i t h NFFT and winNBW S p h i = winNBW S p h i / n f f t ; N_f = l e n g t h ( f ) ; % number o f f r e q u e n c y p o i n t s = n f f t / 2 + 1 rbw=winNBW / ( T_m n f f t ) ; % r e s o l u t i o n b a n d w i d t h i n Hz l o g _ r b w = 10 l o g 1 0 ( rbw ) ; % P l o t Phase N o i s e ( s e m i l o g ) figure (1); s e m i l o g x ( f ( 2 : N_f ) , 10 l o g 1 0 ( S p h i ( 2 : N_f ) ) ) ; x l a b e l ( O f f s e t F r e q u e n c y from C a r r i e r ( Hz ) ) ; y l a b e l ( S_ { \ p h i } ( dB / Hz ) ) ; t i t l e ( VCO Power S p e c t r a l D e n s i t y ) ;

39

2.5. Sampling and Quantization


Digital signal processing operates on signals that are discrete-time (sampled) and discrete-valued (quantized):

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

40

2. Fundamentals

2.5.1. Sampling
Sampling creates images of the original signal around multiples of fS that overlap when B > fS /2 (Nyquist frequency), folding back frequency components above fS /2 into the base-band. These components cannot be separated from the original signal. This process is called aliasing and can only be avoided by band-limiting the original signal with an anti-aliasing lter to B fS /2. Hence, a sampled signal may be recovered without losses as long as the Nyquist criterion is fullled: A signal must be sampled at a rate fS equal to or greater than twice its bandwidth B in order to preserve all the signal information.

2.5.2. Quantization
Quantization reduces the innite amplitude resolution of the analog signal to a discrete number of levels which inevitably adds distortions that cannot be fully removed. In general, it is very difcult to predict the level of distortion as it depends not only on the quantization step size Q but also on the signal amplitude and statistics. Note: A physical interpretation of the numeric quantizer output is obtained by scaling the output with the quantization step size Q , resulting in a nominal quantizer gain of 1. The quantization error qe (n) is in the range6 Q /2 for the case of rounding. For multi-bit quantizers and sufciently large signal amplitudes, qe can be approximated by a stochastic process with uniform amplitude probability density 1/Q and a constant power spectral density (PSD) Nq ( f ) in the interval ( fS /2, fS /2). Outside this interval the noise spectrum repeats due to sampling. The variance 2 e of such a process is given by (2.5.1).
2 e = 2 /12 = Nq (B = fS /2) Q

(2.5.1)

The variance equals the quantization noise power Nq ( fS /2) within the Nyquist bandwidth, obtained by integrating the quantization noise PSD Nq ( f ) over (2.5.2). ( fS /2, fS /2). This allows the calculation of Nq Nq (B = fS /2) =
6 For

fS /2 fS /2

Nq ( f )d f = Nq ( f ) fS

Nq ( f ) =

2 Q 12 fS

(2.5.2)

truncation, Q < qe 0

Christian Mnker

March 10, 2010

2.5. Sampling and Quantization

41

The peak signal-to-quantization-noise ratio (SQNR) is calculated from the power

f /2 B S

11 00 11 00 11 00 11 00 11 00
B

Nq (f)

f
f /2 S

Figure 2.9.: Spectral density of quantization noise

S of a signal exercising the full signal range (FSR) of the quantizer and quantization noise power Nq . The SQNR for a sine signal with a peak-to-peak amplitude A pp = FSR and a quantizer with 2N quantization levels is given by A pp = (2N 1)Q Q =
2

2N 1 2 22N 2 A2 pp Q Q = 8 8 8 S 8 SQNR = 10 log 10 log 22N = N 6.02 dB 1.76 dB Nq 12 S=

A pp N 1 2

and

Nq =

2 Q 12

(2.5.3) (2.5.4) (2.5.5)

In quantizers with only a few bits (N < 5), quantization gain and quantization error are strongly correlated to the signal, creating distortions (harmonics, intermodulation). The resulting degradation of SQNR can be included in (2.5.5) via a reduced maximum input amplitude [vdP94, p. 13]: A pp = 2N 2 + 4 Q A2 4 3 N pp 2 2+ dB = 10 log 8Nq 2
2

(2.5.6) dB (2.5.7)

SQNR = 10 log

2.5.3. Oversampling
The SQNR of a quantized signal can be improved by reducing the quantization step size Q but this is often more difcult to achieve than oversampling, i.e. sampling a signal with a higher rate than the Nyquist frequency ( fS > 2B). As 2 the total quantization noise power Nq = e does not depend on the sampling rate, ( f ) is reduced by oversampling (Fig. 2.9). Hence, the quantization noise PSD Nq

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

42

2. Fundamentals

the total quantization noise power in the baseband (B < f < B) depends on the oversampling ratio OSR = fS /2B. For the optimum case of a brickwall lter with fc = B (Fig. 2.9), the SQNR is improved by the oversampling ratio OSR = fS /2B (2.5.8).
B

Nq (B) =

Nq ( f )d f =

2 2B Q 12 fS

= Nq ( fS /2)

2B Nq ( fS /2) = fS OSR

(2.5.8)

On a logarithmic scale, this means doubling the OSR improves the SQNR by 10 log OSR = 3 db, equivalent to increasing the resolution by half a bit. Another advantage of oversampling is the relaxed requirements for anti-aliasing and reconstruction lters which remove frequency components above fS /2: In Nyquist rate converters, the signal bandwidth B is just below fS /2, requiring steep analog lters. This is not needed in an oversampling architecture where B fS /2. Signal and quantization noise between B and fS /2 can be removed later on in the digital domain.

2.5.4. Subsampling and Downsampling


As the Nyquist criterion only requires that the signal bandwidth is lower than the Nyquist frequency fS /2 the signal frequency may be far higher than the sampling frequency. The signal frequency range fsig has to fall into a single Nyquist zone (N 1) fS /2 < fsig < N fS (see Fig. 2.10). If this condition is met, the sampled image of the signal contains all the information of the original signal. When the original signal lies in an even Nyquist zone, the order of frequency components is reversed which can be reversed easily in digital processing. Using a sampling frequency below the highest signal frequency (i.e. sampling signals above the rst nyquist zone) is called undersampling or subsampling, independent of whether the Nyquist criterion is fullled or not. In contrast, the term downsampling is used in this work to denominate the whole process of sampling a signal around fsig with a sampling frequency fS after limiting the signal bandwidth to fS /2 [CT92, pp. 125]. Decimation7 by a factor of N operates on a DT signal by keeping 1 out of N samples and discarding the others, yielding an output sampling rate of fS /N. This has the same effect as undersampling a CT signal, consequently, the bandwidth of an DT signal also has to be limited to fS /2N before decimation to avoid aliasing.
7 The

term has its origins in the Roman method of punishment where a group of men were selected at random and every tenth one was killed.

Christian Mnker

March 10, 2010

2.6. Sigma-Delta Modulation

43

Figure 2.10.: Subsampling and frequency translation between nyquist zones [Kes05]

Signals with a high ratio between signal frequency and signal bandwidth (as in the case of the response analysis system in Chap. 6) can be processed very efciently by downsampling resp. decimation as the signal processing can be performed at a much lower sampling rate.

2.6. Sigma-Delta Modulation


Originally, Sigma-Delta Modulation (M) had been developed to reduce the bandwidth for data transmission by differential predictive coding: When the sampling frequency fS is much higher than the signal bandwidth B, transmission bandwidth can be saved by transmitting only the changes ("delta") between samples of the signal (Fig. 2.11 and Fig. 2.12) [Kes05], requiring only one bit in its simplest form. In this work (with very few exceptions) only one-bit quantization and DACs are used as the inherent monotonicity of a one bit DAC allows implementation in low-cost CMOS technologies. This feature in conjunction with the mainly digital architecture enabled the immense success of M in integrated circuits. The signal is demodulated by integrating the delta samples and converting them back to the analog domain. This method, called Delta modulation, is improved by integrating ("sigma") the input signal, yielding Sigma-Delta modulation (SDM,

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

44
d[n]
+ Q Q Integrator

2. Fundamentals

s(t)

s(t)
f

Quantizer Integrator

Sampler + Q Q

DAC

LPFilter

Modulator

DAC

Demodulator

Figure 2.11.: Delta modulation and demodulation

(Integrated) Input Signal

Granular Noise Slope Overload Distortion Q

Feedback integrator output

TS

(Sigma) Delta modulated data stream

1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 1 0 1 0 1 0 1 0 0 0

Figure 2.12.: (Sigma)-Delta modulation signal forms

Fig. 2.13). Due to the integration, low-frequency signal components are amplied, improving the correlation between samples. As integration reduces the slewrate of the signal, slope overload conditions (Fig. 2.12) also become less likely. Additionally, the dynamic specications for the quantizer / sampling stage are relaxed as well. When the integrated input signal is regarded instead of the input signal, Fig. 2.12 also shows the M principle.
s(t) sd[n]
+ Q Q Quantizer Sampler + Q Q DAC
f

s(t)

Integrator

LPFilter

Modulator

Integrator

DAC

Demodulator

Figure 2.13.: Sigma-Delta modulation and demodulation

Christian Mnker

March 10, 2010

2.6. Sigma-Delta Modulation

45

The major advantage of M over delta modulation is that only a low-pass lter with relaxed specications instead of an integrator is required for signal reconstruction. Further simplication is obtained by moving both integrators behind the subtractor (Fig. 2.14).
s(t)

sd[n]
Quantizer DAC

+ Q Q
f

s(t)

Integrator +Q Q DAC Sampler

LPFilter

Modulator

Demodulator

Figure 2.14.: Sigma-Delta modulation and demodulation (efcient implementation)

The advantage of exchanging amplitude resolution against oversampling ratio is also frequently applied digital signal processing. In this work, M is used in three different forms: PLL: The circuit-under-test (Sec. 3.2) uses an PLL to achieve a ne frequency granularity with a high ("coarse") reference frequency. -attenuator: In the digital sine generator (Sec. 5.1), a large N-by-N bit multiplier is replaced by a compact M attenuator. -FM discriminator: In the output response analyzer (Sec. 6.2.2), the RF signal is demodulated and quantized with an Sigma-Delta Frequency Discriminator (FD), yielding an M bitstream approximation to the frequency deviation.
s[n] sd[n]

z1
Quantizer Accumulator

Figure 2.15.: Digital sigma-delta modulator

In digital M, the integrator is replaced by an accumulator, the DAC in the feedback path is implemented by a MUX selecting Q /2 or 0, Q . As the signal is already DT, the sampler becomes a unit delay that is drawn into

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

46 the accumulator (Fig. 2.15) with a transfer function HA (z) of HA (z) = z1 1 z1 and

2. Fundamentals

1 |HA ()| = . 2 2 cos

(2.6.1)

2.6.1. Single Bit Quantizer


For multibit quantizers, the quantizer gain is usually approximated as gQ 1. The output of a single-bit quantizer only depends on the sign of the input value, hence, its "gain" gQ strongly depends on the input signal. Useful approximations for gQ can only be made by regarding the closed loop. The same is true for the full scale amplitude, which is usually dened as the value where the input signal becomes equal to the DAC output Q as higher input signals overload the converter. For frequencies well below fS /2, the loop gain is HA ( f )gQ 1 and overall gain is determined by feedback. Correspondingly, the quantization error is Q e[n] < Q with a noise power of e2 = (2Q )2 /12 = 2 /3. n Q For the purpose of analysis, the DAC gain factor is absorbed into the quantizer -output, yielding a Q instead of a 1 stream. This has the advantage that the low-pass ltered output can directly be interpreted as an approximation to the original signal.

2.6.2. Quantization Noise in M


en [n] s[n]

z 1 1 z 1
Accumulator Quantizer

sd[n]

Figure 2.16.: Model for quantization noise in M bit stream

The model in Fig. 2.16 is used for the analysis of quantization noise in the M stream sd[n] where the quantizer action has been replaced by adding the quanti-

Christian Mnker

March 10, 2010

2.6. Sigma-Delta Modulation


zation noise voltage en [n] to the original signal. The digital output stream is SD(z) = (S(z) SD(z)) = S(z) z1 + en (z) 1 z1 1+

47

= S(z) z1 +en (z) 1 z1 .


Hs (z) Hn (z)

z1 + en (z) 1 z1

z1 1 z1

(2.6.2)

s[n]
z1

en [n] (1z1)

sd[n]

Figure 2.17.: Signal and noise transfer functions in rst order M

The resulting bit stream contains the input signal plus the quantization noise. While the signal is merely delayed by one sample, i.e. the signal transfer function (STF) is Hs (z) = z1 , the quantization noise en = Q / 12 fS is shaped with the noise transfer function (NTF) Hn (z) = 1 z1 (Fig. 2.17). Hn attenuates low frequencies of en by taking the difference between two consecutive samples. The frequency response is calculated using sin2 x = 1 (1 cos 2x): 2 Hn e j = 1 e j = 2je j/2 = 2e j()/2 sin Hn ej = 2 sin 2 e j/2 e j/2 2j (2.6.3) (2.6.4)

f = 2 sin 2 fS

(2.6.4) shows that the quantization noise at the M output is high-pass shaped in the frequency range 0 . . . fS /2, returning to zero at fS . The noise power contained in the bandwidth of interest B is given by (2.6.5):
+B

Nq (B) = =

2 Hn ( f ) Nq ( f ) d f =

+B B

4 sin2

f fS

e2 n df fS
+B B

+B

2 f 2 1 cos fS

2e2 2 f e2 fS n df = n f sin fS fS 2 fS
+B

2 f e2 2 f sin = n fS fS

2B 2e2 2B sin = n fS fS B

(2.6.5)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

48

2. Fundamentals

The quantized noise is now concentrated at higher frequencies where it can be ltered out for a small signal bandwidth B fS /2. In this case, it can be approximated using sin x x x3 /6: Nq (B fS /2) = 2e2 2B 2B 1 n + fs fs 6 2 e2 n 3 2B fS
3

2B fS

2 e2 n = 3 OSR3

Q 6 OSR3/2

(2.6.6)

A sinusoid with amplitude A pp = (4/)Q = 1.27 that uses the full input range of the -quantizer without clipping has a signal power of A2 /8 = 22 /2 pp Q (2.5.6), yielding an SQNR of SQNR = 10 log 2Q 6 OSR3/2 Q
2

dB = 6.6 dB + 30 log OSR dB (2.6.7)

First order M improves the SQNR by 30 log OSR, compared to only 10 log OSR for oversampling without noise shaping (2.5.8). Fig. 2.18 visualizes the differences between Nyquist-rate, oversampled and noise-shaping data converters.

Figure 2.18.: Nyquist rate (a), oversampling (b) and M (c) converters [Kes05]

Christian Mnker

March 10, 2010

2.6. Sigma-Delta Modulation

49

2.6.3. Spurious Tones of First Order M


When a constant signal is applied to a rst order M, the internal quantized signal changes between two levels, keeping the mean equal to the input signal. Depending on the level of the input signal x = Qi + b/aQ with respect to the two nearest quantization levels Qi and Qi+1 , the output pattern can have a short pattern length, concentrating the quantization noise in a few strong spectral lines which are also called "idle tones". The worst kind of input signals are DC signals with a low-valued denominator, i.e. a = 2, 3, . . .. This DC pattern noise is a wellknown issue of rst order SDMs; expressions for the frequency and power of these lines have been derived by [CB81, Gal93] amongst others.

Figure 2.19.: SDM noise for DC inputs [CT92, p. 5]

Fig. 2.19 shows that the peak noise regions are indeed around low-values for a, i.e. around 1/2, 1/3 etc. When a low-frequency ( f fS ) signal is present, the SNR is dened by integrating the noise over time while moving along the x-axis in Fig. 2.19. Choosing a bias point around one of the peak noise regions of Fig. 2.19 will therefore lead to a bad SNR.

2.6.4. Higher Order M


In rst order M, the correlation between input signal and quantization error is rather strong, leading to patterns in the output bit stream which show up as spurious lines in the spectrum. Additionally, the noise shaping only has a weak, rst order characteristic. One way to improving rst-order M is to apply the integrated error between

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

50

2. Fundamentals

s[n]

a1 z
1

a2

z1

sd[n]

Quantizer

First order SDM

Figure 2.20.: Second order multi-loop SDM

input signal and quantizer output to its input instead of the original input signal (Fig. 2.20). This topology is called multi-loop M. The quantizer output is scaled and fed back into multiple stages of the modulator. The resulting signal and noise transfer functions are given by: Hs (z) = Hn (z) = z = z1 z2 + (a1 + a2 2)z + 1 a2 for a1 = a2 = 1
2

(2.6.8) (2.6.9) (2.6.10)

(z 1)2 = 1 z1 z2 + (a1 + a2 2)z + 1 a2


2

"

|Hn ( f )| = 1 ej2 f Ts

= 4 sin2

f fS

Choosing a1 = a2 = 1 yields an especially simple implementation (Fig. 2.21) that has also been used in the digital sine generator (Sec. 5.1).
s[n] 1 1z 1 1 1z 1
z1
+

sd[n]

Quantizer

Figure 2.21.: Second order multi-loop M with a1 = a2 = 1

s[n]

1 1z 1

1 1z 1

z1

+1 1

sd[n]

Quantizer

2z1

Figure 2.22.: Equivalent second order single-loop M for Fig. 2.21

The STF of the second order M in Fig. 2.20 is just a delay of one sample (2.6.8) as with a rst order SDM. The NTF Hn ( f ) (2.6.10), however, has a second order

Christian Mnker

March 10, 2010

2.6. Sigma-Delta Modulation

51

behavior, resulting in a stronger noise shaping. It is calculated exactly as the NTF of the rst order M (2.6.4). The structure in Fig. 2.21 can be rearranged to obtain the second order single-loop M in Fig. 2.22 with identical STF and NTF. The quantization model for both version is given in Fig. 2.23
en [n] s[n] 1 1z 1 1 1z 1
Quantizer

sd[n]
z1

2z1

Figure 2.23.: Quantization noise model for M in Fig. 2.21 and 2.22

A different architecture for higher-order noise shaping is known under the name of cascaded SDM or MultistAge noise SHaping (MASH). In this topology, singleand second-order loops are cascaded (Fig. 2.24), their single-bit outputs are combined in a noise-cancellation block. The difference between the quantizer output and the output itself of the rst accumulator is quantization noise which is integrated in the second accumulator. The output of the rst stage is summed with the differentiated output of the second stage. This way, the quantization noise is high-pass shaped while the signal passes without disturbance.
2 bit
CO CO

z1

xd(n)

x(n)
z1 z1

Figure 2.24.: Second order digital M with multistage noise shaping

The main advantage of the MASH architecture is that it is unconditionally stable as it is made of rst order sections without overall feedback. The multi-bit output stream necessitates a multi-bit DAC (-ADCs) which may suffer of nonlinearities (in contrast to a one-bit converter). In the circuit-under-test, a thirdorder MASH is used within the -PLL to achieve ne frequency granularity

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

52

2. Fundamentals

with a high reference frequency. A multi-modulus divider (MMD) converts the multi-bit stream to division ratios, linearity problems (i.e. divider delay depending on the division ratio) can be avoided by proper design techniques [LRP+ 04]. More in-depth information on various aspects of modulation can be found in [CT92].

2.6.5. Terminology
Historically, the notation Delta-Sigma Modulation was used rst in 1962. This terminology describes the causal sequence of operations (difference operation rst, followed by integration). In the 1970s, the term Sigma-Delta Modulation was coined to reect the functional hierarchy: Similar to "Root-Mean-Square" where the actual sequence of operations is "Square-Mean-Root", "Sigma-Delta" describes how a difference is integrated [Kes05]. Nowadays "Sigma-Delta Modulation" is more popular than the original terminology, this terminology is also used throughout this work.

2.7. Digital Resonators


The most important building block of recursive digital lters is the digital resonator, a system with one or two complex poles8 . First order resonators with a complex pole require a complex coefcient (i.e. two multipliers) and are most efcient for processing complex input signals. Here, only the magnitude response of real signals is of interest and only second order resonators with real coefcients are regarded. One or two zeros can be included in a separate section.

2.7.1. Basic Properties


A purely recursive second order system with real coefcients a1 , a2 is described by the transfer function (2.7.1). H(z) =
8 Systems

g0 1 + a z2 1 + a1 z 2

g0 z2 (z z p,1 ) (z z p,2 )

(2.7.1)

with real poles have no resonant behavior (ringing, peaking) and are not regarded here.

Christian Mnker

March 10, 2010

2.7. Digital Resonators

53

The zeros of the characteristic equation dene the poles z p,i of the system (2.7.2): a1 2 a2 1 a2 4

= 1 + a1 z1 + a2 z2

z p,i =

(2.7.2)

For the case a2 > a2 /4, the system has two conjugate complex poles z p,1 = z = 1 p,2 r p e j p that are written in polar form for this analysis, i.e. as pole radius r p (2.7.3) and pole angle p (2.7.4). r2 = r2 = r2 = z p,i p p,1 p,2
2

a2 a2 1 + a2 1 4 4 a2 a2 /4 1 a1 /2 and

= a2 = p

(2.7.3)

p,i = arctan

a1 = {z p,i } = 2r p cos p

{z p,i } = arctan {z p,i }

(2.7.4) (2.7.5)

a2 = r2 p

The system is stable when all poles are inside the unit circle i.e. the pole radius r p is less than one.

1111111111111 0000000000000 1111111111111 0000000000000 1111111111111 0000000000000 1111111111111 0000000000000 1111111111111 0000000000000 1111111111111 0000000000000 1111111111111 0000000000000
1 complex poles 2 1 real poles 1 1

a2

a1

Figure 2.25.: Stability region of a second order system with real coefcients a1 , a2

The stability condition (2.7.6) for complex poles is derived directly from (2.7.3) and visualized as the stability triangle in Fig. 2.25. a2 < 1 for a2 > a2 1 4

(2.7.6)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

54 The transfer function in polar form is given in (2.7.7). H(z) =

2. Fundamentals

g0 z2 g0 = (z z p,1 ) (z z p,2 ) 1 r p e j p z1 1 r p e j p z1 g0 = 1 2r p cos p z1 + r2 z2 p {z}

(2.7.7) (2.7.8)

z
Z1,2 |z|=1

P1

L 11 00 11 00

e j

{z}
1

P2

Figure 2.26.: Poles and zeros contribution to magnitude frequency response |H())|

The frequency response H() is determined by regarding the system function (2.7.7) along the unit circle9 , z = e j . |H()| = g0 e 2j g0 = (e j z p,1 ) (e j z p,2 ) LP1 LP2 (2.7.9)

(2.7.9) can also be interpreted geometrically (Fig. 2.26): The magnitude frequency response |H()| is given by the product of the distances between all zeros and the point L = e j on the unity circle divided by the product of the distances between all poles and that point L. The length of the individual sections LPi (2.7.10) is calculated from Fig. 2.27 using the cosine formula. LPi =
9 only

1 + r2 2r p cos( p,i ) p

(2.7.10)

for a stable system

Christian Mnker

March 10, 2010

2.7. Digital Resonators


{z}
P1

55

LP1
rP

p,1

p,1
1

{z}

Figure 2.27.: Calculation of distance LP1

Finally, the magnitude response |H()| is obtained using p = p,1 = p,2 . |H()|2 = 1 + r2 2r p cos ( p ) p g2 0

1 + r2 2r p cos ( p + ) p

(2.7.11)

2.7.2. Undamped Resonators


In the limiting case of a2 = r2 = 1, the poles lie on the unit circle, and the system p shows undamped oscillation at the resonance frequency r (2.7.12). {z p,i } r = p = arctan = arctan {z p,i } 1 a2 /4 1 a1 /2 a1 2

= arccos

(2.7.12)

The resonance frequency is identical to the pole angle r = p as the normalized frequency = 2 / fS has the same values as the corresponding angle in radians, the characteristic equation is given by = 1 2 cos r z1 + z2 = 0 . (2.7.13)

2.7.3. Resonance Gain and Peak Gain


The magnitude transfer function of a resonator with two complex poles has two maxima at the center or peak gain frequency c . As the pole radius r p approaches one, these peaks become more pronounced and move closer to the resonance frequency r c .

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

56

2. Fundamentals

When the poles are very close to the unit circle (r p 1), there is little mutual inuence on the resonant behavior in (2.7.9) and the peak gain frequency is c r = p (Fig. 2.28). In this case, peak gain at frequency c is nearly identical to the resonance gain at frequency r = p (Fig. 2.29) which is easier to calculate (2.7.14).

Figure 2.28.: Frequency response of the two-pole resonator (solid line) and contribution of individual poles (dashed lines) [Smi05]

H(e j p ) =

g0 1 r p e j p e j p 1 r p e j p e j p g0 = (1 r p ) 1 r p e j2 p

(2.7.14)

The exact value for c can be found by setting the derivative of (2.7.11) to zero (2.7.16): |H()| = sin [a1 (1 + a2 ) + 4a2 cos ] = 0 (2.7.16) Local minima are at = 0 and = (except for = 0), the peak gain frequency c (2.7.17) is found by setting the second part of (2.7.16) to zero. cos c = 1 + r2 a1 (1 + a2 ) p = cos p 4a2 2r p (2.7.17)

The strong variation of resonance gain with resonance frequency is seen clearly by regarding the special cases of resonance frequencies 0, /2 and (2.7.15). g0 g0 H(0) = H e j = (2.7.15) > H e j/2 = 1 r2 (1 r p )2 p

2.7.4. Constant Peak-Gain Digital Resonator


The strong variation of peak gain over resonance frequency of the basic resonator can be reduced or avoided altogether by placing zeros at suitable positions, yield-

Christian Mnker

March 10, 2010

2.7. Digital Resonators


Resonator with b = 1, r = 0.99 and = 0 ...
80

57

70

60

50 |H()| (dB)

40

30

20

10

0 0 0.2 0.4 0.6 0.8 1 Normalized frequency /

Figure 2.29.: Frequency response of the two-pole resonator with r = 0.99 for different values of

ing a biquadratic or biquad transfer function (2.7.18). H(z) = (z zz,1 ) (z zz,2 ) b0 + b1 z1 + b2 z2 = g0 1 + a z2 1 + a1 z (z z p,1 ) (z z p,2 ) 2 (2.7.18)

Placing zeros at z = 1 ( = 0 and = ) results in a biquad with constant peak-gain over the whole frequency range that is also very simple to implement [Ste94]. The values for the coefcients a1 = 2r p cos p and a2 = r2 have been p selected as before. |H()| = g0 e j zz,1 e j zz,2 LZ1 LZ2 = g0 j z ) (e j z ) (e LP1 LP2 p,1 p,2 (2.7.19)

Similar to (2.7.17), the frequency of peak gain can be derived as (2.7.20). Obviously, the zeros at z = 1 prevent setting the peak gain at and near = 0 and = . As the factor for cos p in (2.7.20) is always less than 1, a value for c exists for every setting of p . This means, in contrast to (2.7.17), the resonator shows peaking for every value of p but peak gain frequencies near = 0 and = cannot be set. 2r p (2.7.20) cos c = cos p 1 + r2 p

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

58 {z}

2. Fundamentals

z
1 Z1 |z|=1

P1 e j

L 11 00 11 00

{z}
Z2 1

P2

Figure 2.30.: Constant peak gain resonator with zeros at z = 1

The peak gain at c depending on the pole radius is given by [Ste94]. Hc (c ) = 2 = f ( ) 1 r2 p (2.7.21)

Fig. 2.31 shows the behavior of the constant peak-gain resonator for different 1 pole frequencies p . The transfer function has been scaled with Hc (c ).

2.7.5. Bandwidth and Settling Time of High-Q Resonators


Bandwidth

For a second order band-pass, bandwidth B is usually dened as the half-power bandwidth B3 , i.e. the difference of the upper and lower -3 dB frequencies + and where the magnitude response has dropped by 3 dB compared to the gain Ac at the center frequency c = + (Fig. 2.32). The resolution bandwidth (RBW) of a spectrum analyzer is the -3 dB frequency of the resolution lter, describing the minimum frequency difference of two sine tones with equal amplitude that can be resolved. The shape factor SF or selectivity of a band-pass lter is important for applications where tones need to be separated that are close to each other. It is usually dened by the ratio of the -3 dB and the -60 dB bandwidth B60 (Fig. 2.32)

Christian Mnker

March 10, 2010

2.7. Digital Resonators

59

Resonator with b = z - 1, r = 0.99 and = 0 ...


0

-2

-10

-20 |H()| (dB) -30 -40 -50 0 0.2 0.4 0.6 0.8 1 Normalized frequency /

Figure 2.31.: Frequency response of the constant peak-gain resonator with r = 0.99 for different values of p

A [dB]

20
60 dB

40

3 dB

0 3

B3

60

B60
+

Frequency

Figure 2.32.: Band-pass lter specications

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

60

2. Fundamentals

[Agi06]. Typical values for the selectivity in a spectrum analyzer are 1:5 for a digital and 1:10 . . . 1:15 for an analog lter implementation. Normalized bandwidth is dened as = + , relative bandwidth Brel as the ratio of bandwidth and center frequency. For resonators with r p 1, the normalized center frequency is very close to can the pole angle, c p and the bandwidth be estimated graphically from Fig. 2.33: The -3dB points and + are 2 farther away from the pole than the resonance frequency r , yielding 2(1 r p ) B= 1 rp fs . 2 Ts (2.7.22)

{z}
+ 1 rP 1 P1 + p rP 1 rP

2(1 rP ) 1

1 0

1 0

c 1 rP

{z}

Figure 2.33.: Estimation of resonator bandwidth

For a second order system, the quality factor Q is approximately the reciprocal of the relative bandwidth Brel (referred to the center frequency fc ): Q

p fc c 1 = = Brel B 2 (1 r p )

(2.7.23)

Settling Time

As the bandwidth of a narrow-band lter determines its settling time, bandpass specications always are a compromise between spectral and temporal resolution.

Christian Mnker

March 10, 2010

2.7. Digital Resonators

61

For high-Q digital lters with a dominant pole, the settling time-constant can be estimated from the pole radius (2.7.24) [Smi07].

TS 1 = 2B 2 (1 r p )

(2.7.24)

The other pole has a strong inuence on the frequency response for frequencies near 0 or , resulting in a large error of the estimations above.

2.7.6. Resonator Implementations


As the poles are mainly responsible for stability and quantization effects, only the differences between recursive structures are regarded here. Various structures have been developed to optimize different aspects of resonator behavior like robustness, signal-to-noise ratio or tunability. An overview over different structures can be found e.g. in [MS86] or [Zl05], the latter compares different second-order sections with respect to their SNR.

Direct Form Resonator

A rational transfer function in z can be immediately implemented in hardware, yielding the well-known direct form structures. The lter coefcients ai , bi are identical to the polynomial coefcients as shown in Fig. 2.34 for a purely recursive transfer function (2.7.1). Due to this equivalence, the formulas that have been derived for resonance frequency etc. in the last sections, apply directly for the direct form resonators.
x[n] a2 y[n]

z 1

a1

z 1

Figure 2.34.: Direct form resonator (second order)

(2.7.5) shows that the pole positions due to a quantized coefcient a2,Q are concentrated near the unit circle. For a2,Q = 1, the pole moves along the unit circle with r = p = arccos(a1,Q /2). Pole density is high around r = /2, at low frequencies r 1 the reduced pole density leads to large errors due to coefcient quantization and degrades the SNR [Zl05].

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

62
LDI Based Resonator

2. Fundamentals

The structure in Fig. 2.35(a) is known in literature under different names: statevariable biquad [MS86] as it can be derived from analog state-variable lters or Kingsbury structure after [Kin73]. Here, structures built around a loop of two lossless digital integrators (LDI) are given the more general name LDI based resonator.
xi,BP2 k2 k1
z 1

yo,b

z 1
1 1z 1

k3 yo,n k1

k2 k3 (b)

z 1 1z 1

xi,LP

xi,BP1 (a)

xi,HP

yo

L2

L1

Figure 2.35.: LDI based resonator (a) and SFG of loops (b)

The LDI based resonator has a good SNR around z = 1 and it can be tuned with either parameter k1 or k2 . This is especially simple for the Kingsbury structure where k1 = k2 . Setting k3 = 0 places the poles on the unit circle.
Coupled-Form Resonator

The coupled-form resonator, also called Rader-Gould resonator in Fig. 2.36 has the transfer function (2.7.25).
x[n] z 1

z 1 y[n]

Figure 2.36.: Coupled-form resonator

H(z) =

(2.7.25) shows that one lter coefcient determines the real part and the other one the imaginary part of the poles. Hence, the density of poles within the unit circle is constant.

1 1 2 z1 + ( 2 + 2 ) z2

(2.7.25)

Christian Mnker

March 10, 2010

2.8. Fixed-Point Number Format

63

However, it is obvious that the condition 2 + 2 = 1 for undamped resonance generally cannot be achieved for quantized coefcients Q , Q . It is also unpractical that both coefcients have to be tuned to modify the resonance frequency.

2.8. Fixed-Point Number Format


When minimum hardware complexity is important, digital signal processing is performed with xed point arithmetics. In contrast to software and digital signal processor solutions, FPGA and ASIC implementations allow a free choice of number representation (scaling, bias, twos complement, ...), the number of integer bits QI and fractional bits QF (the position of the binary point) and the total word length W L = QI + QF (Fig. 2.37). In this work, the "Q-notation" is used: QU[QI].[QF] for unsigned and QS[QI].[QF] for signed numbers.
WL QI QF

bW L1 bW L2 bW L3
MSB

bQF

bQF1

b2

b1

b0
LSB

Figure 2.37.: Fixed-point number representation

The same binary word BinWord represents different real-world values (RWV ), depending on the number format (Tab. 2.1). For unsigned numbers, this relation is simply: RWV = 2QF
W L1 i=0

bi 2i =

W L1 i=0

bi 2iQF

For signed numbers, the MSB bW L1 represents the sign bit. The corresponding relation is W L2 bi 2iQF for bW L1 = 0 i=0 RWV = W L2 bi 2iQF 2QI for bW L1 = 1
i=0
Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

64

2. Fundamentals
Encoding 2QF BinWord 00000000 00000001 00011000 01111111 10000000 10000001 11111111 0 1 24 127 128 129 255 QU8.0 1 QU2.6 64 QU1.7 128 QS8.0 1 QS2.6 64 QS1.7 128

Real World Value (RWV) 0.000 0.016 0.375 1.984 2.000 2.016 3.984 0.000 0.008 0.188 0.992 1.000 1.008 1.992 0 1 24 127 -128 -127 -1 0.000 0.016 0.375 1.984 -2.000 -1.984 -0.016 0.000 0.008 0.188 0.992 -1.000 -0.992 -0.008

Table 2.1.: Examples for binary encoding with corresponding real world values (rounded to 3 decimal digits)

The resolution of a xed-point number is given by = 2QF . The effect of exceeding the numeric range during an arithmetic operation depends on the hardware implementation: Saturation logic clamps the result to the maximum resp. minimum value when an overow resp. underow condition occurs, modulo or wrap-around logic simply drops the overow bit which has the effect of subtracting 2W L from the result. The latter results in a more unpredictable behavior and possibly oscillations (limit cycles) but needs no additional hardware. For this reason, modulo arithmetics is chosen in this work, overow conditions are avoided by proper scaling.

2.9. Digital Filters


Many different topologies for DT lters have been developed with specic advantages and disadvantages. The overview in Fig. 2.38 only shows the types that are described in this section.

2.9.1. Direct Form and Related Filters


A rational DT transfer function H(z) (2.9.1) (e.g. obtained via bilinear transform from a rational CT transfer function H(s)) can be written in different forms, pro-

Christian Mnker

March 10, 2010

2.9. Digital Filters

65

digital lters recursive direct form DF I DF II reference network WDF LDI non-recursive resonator based

Figure 2.38.: Types of digital lters

viding different "construction plans" for implementation. For real lter coefcients am , bn , poles and zeros are either real or complex-conjugate pairs.
:=H1 (z)

Y (z) = H(z) = X(z)

1 + an z
n=1 :=H2 (z)

m=0 N

bm zm
= H1 (z)H2 (z);
n

MN

(2.9.1)

When the difference equation (2.9.2) is derived directly from H(z) in polynomial form, the corresponding recursive DT lters (Fig. 2.39) are called direct form (DF) lters. A common implementation is the so called direct form type II (DF II) shown in Fig. 2.39 with a minimum number of registers (canonical form). Y (z) 1 + an zn
n=1 N N

= X(z)
M

m=0

bm zm
(2.9.2)

y[k] = an y[k n] +
n=1

m=0

bm x[k m]

It is well-known that DF lters are very sensitive to coefcient truncation and quantization [Smi05, Mey07], making them impractical for applications with short word length.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

66

2. Fundamentals

a1 x[k] g[k+N]

aN2

aN1

aN

z 1
b1

g[k+N1] bM2

z 1

g[k+1]

z 1
bM

g[k]

b0 y[k]

bM1

Figure 2.39.: Type II direct form lter

Cascaded (SOS) lters

Rearranging the transfer function (2.9.1) into products of rst and second order (2.9.3) is the starting point for cascaded lters: bm zm =
M

H(z) =

1 + an zn
n=1

m=0 N

m=1 N n=1

z z0,m z z,n

MN

(2.9.3)

Individual product terms are implemented as second order sections (SOS), each realizing one or two (complex-conjugate) poles and zeros. The robustness of such a cascade of rst and second order lter sections is much higher than a direct implementation.

Parallel form

The transfer function (2.9.1) can also be written as a sum of rst and/or second order terms (2.9.4), obtained by partial fractional expansion [Smi05] am zm
M NP M

H(z) =

1 + bn zn
n=1

m=0 N

= F(z) +

ri ; 1 k=1 m=1 1 pi z

MN

(2.9.4)

where pi are the poles of the transfer function and ri are the residues.

Christian Mnker

March 10, 2010

2.9. Digital Filters

67

This structure can be implemented as a parallel lter, where the outputs of individual rst and second order sections are summed up. Parallel lter structures are preferred when the different sections have disjoint passbands [Smi05] (e.g. for multiple passbands). Parallel lters are also much more robust than a direct implementation; for the same word length, parallel lters have a more ideal passband and worse stopband characteristic than cascaded lters. A serious disadvantage of DF and derived lters is that there is no simple correlation between lter coefcients and frequency response: Tuning e.g. the center frequency of a band-pass requires re-calculation of all coefcients.

2.9.2. Passivity and Reference Network Filters


At the beginning of the 1970s, analog (LC) lter topologies like doubly terminated LC ladder networks (Fig. 2.40) [TR86] and design methodologies had been developed for the construction of robust higher order lters with low sensitivity to component variations. R0 vin L1 C1 R0 C2 L2 vo

Figure 2.40.: Doubly terminated fourth order ladder LC band-pass lter

Alfred Fettweis was probably the rst to understand that the robustness of those passive lters can be linked to "zero loss". In an interview he pointed out that this is one of the rare cases where engineers get a "free lunch": "Anyhow, I realized that this sensitivity problem was related to basic loss. Zero loss in a passive circuit is something you can never go below, because it would mean you have an active device. [...] So if you have passive devices, at any frequency where the loss reaches zero, thats rock bottom. [...] If you change a component, if you lower it or make it larger, you cannot get below that value, and therefore the derivative is zero, so you have zero sensitivity. [...] Suppose you have a lter of degree ten, you may have in that lter, lets say, twenty components. With a lter of degree ten, you can have zero loss at ve different frequencies in the pass-band. Now, the sensitivity at any of these ve frequencies is zero, and this with respect

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

68

2. Fundamentals
to any of the parameters. You have twenty parameters times ve, that is a hundred conditions you impose on the sensitivities to be zero. Now you have only twenty parameters in your circuit; how can you satisfy a hundred conditions? In addition, you dont want to waste your freedom completely for getting good sensitivity coefcient; you want to use it to get a good lter curve. Now, the amazing thing is you get the good sensitivity free of charge. Just design the lter to be a good lter, and these one hundred conditions are automatically fullled. That is a fantastic property of passive circuits." [Fet97].

Once this property of passivity was understood, a lot of research was conducted to derive DT lter structures from these analog reference or prototype networks. iin i0 vC,1 R vL,1 L1
(a)

i1 C1 vo

vo

1
vC,1 1/sC1

vL,1

1
iin i0

1/sL1 i1 yo

1
(b)

yo
TS /2L1

1 sC1

1 sL1
x1 xin

x0 xin
(c)

x0

delayfree loop

z
x1

TS /2C1 (d)

Figure 2.41.: Singly terminated second order LC band-pass (a), its SFG in V /I (b) and abstract form (c) and its unrealizable bilinear DT simulation (d)

One way to maintain passivity of a DT lter is the operational simulation10 ap10 "Simulation"

in the general meaning of mimicking the behavior of one system with a different

system.

Christian Mnker

March 10, 2010

2.9. Digital Filters

69

proach: The Kirchhoff equations of an analog reference network are transformed in such a way that the transmittances and immitances of all Li and Ci elements are mapped to integrators (sLi )1 resp. (sCi )1 . This is usually performed with the help of a voltage-current (V /I) signal-ow graph (SFG), which can be implemented as an active, inductorless analog lter [MS78] or as an SC lter for full circuit integration without resistors [GMT83]. However, this approach fails for fully digital lters: In Fig. 2.41(a), the example of a second order band-pass is shown with the corresponding V /I SFG (Fig. 2.41(b)) and abstract SFG (Fig. 2.41(c)). Approximating the individual CT integrator blocks by bilinear transform DT integrators results in a DT network with unrealizable delay-free loops (Fig. 2.41), due to the zero latency of the DT integrators. i0 = iin i1 1 vC,1 = i1 sC1 vout = i0 R i1 = vL,1 1 sL1 vL,1 = vout vC,1 (2.9.5) (2.9.6)

To overcome the problem of delay-free loops, Alfred Fettweis introduced wave variables - linear transformations of the V /I equations known from microwave and transmission line theory. Constructing the SFG from the wave variable equations and transforming it to the DT domain [Fet86] yields so called wave digital lters (WDF). WDFs maintain the properties of the reference networks, especially their passivity and robustness against quantization and coefcient truncation, making WDFs the most popular lters next to DF lters. Lattice lters are a special case of WDF used in adaptive ltering because their stability can be assessed easily. They are all-pole lters, lattice-ladder-lters also have zeros. While WDF and related structures are much more robust than DF lters, a serious disadvantage remains: There is no simple correlation between lter coefcients and frequency response. This is similar to higher order analog lters which are difcult to tune, requiring the simultaneous variation of multiple elements. As a consequence, WDF battle with the same difculty, leading to complex structures [ST76, SK97].

2.9.3. Resonator Based Filters


Filters based on undamped DT resonators are less well known than WDFs, although passivity and the same degree of robustness against coefcient truncation

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

70

2. Fundamentals

and quantization can be achieved [Pec88]. Similar to parallel lters derived directly from the transfer function, resonator based lters consist of a set of parallel digital resonators (Fig. 2.42). However, there are two important differences: The resonators are embedded in a common feedback loop. The resonators are undamped.

g xin x0

H1 (z)
x1

H2 (z)
x2

HN (z)
xN

Figure 2.42.: Resonator based lter bank

Due to the parallel structure, these lters have so far mostly been used in the context of adaptive ltering [MS86] with multiple outputs and lter banks for spectral analysis and decomposition [Pec86, PM91]. General transfer functions H(z) can be implemented, using a design procedure described in [Pec89, PM93]. The lter bank in Fig. 2.43 shows the principle: a common input current iin is divided into currents i1 . . . iN into the individual LC-series tanks which are taken as outputs. At the resonance frequency i , the impedance of a branch i becomes zero, sinking the complete input current iin . This means, the magnitude of the transfer function becomes one for branch i and zero for all other branches. In an analog implementation of the reference circuit, it would of course be difcult to extract the branch currents without damping the series tanks. As shown in Fig. 2.41(d), bilinear transform of individual integrators in a loop leads to delay free loops that can not be realized. In contrast, replacing the whole loop (Fig. 2.41) by a digital resonator is feasible. [TR95a, TR96] also build upon this approach. Similar structures have been derived in different ways [PM91]:
Observer theory: An input signal is modeled by a hypothetical system of res-

onators. The states of these resonators (and hence the input signal) is estimated by minimizing the error between the input signal and the output of a set of actual observers [Pec89].
Simulation of singly terminated ladder lter: In the singly terminated ladder

lter in Fig. 2.43, each CT integrator loop is replaced by an undamped digital resonator [PM91].

Christian Mnker

March 10, 2010

2.9. Digital Filters

71

Iin

i0

i1 C1

i2 C2

iN CN

R L1 (a) Schematic L2 LN

R x0 xin

1 sC1

-1 sL1 x1

1 sC2

-1 sL2 x2

1 sCN

-1 sLN xN

(b) Abstract SFG

Figure 2.43.: Singly terminated LC ladder lter bank

The different approaches described above differ in the choice of resonators: Publications based on [Pec89] utilize rst order complex lters with transfer functions Hi (z) (2.9.7) Hi (z) = zi z1 1 zi z1

(2.9.7)

where zi are complex coefcients. [PM91, PM93] start with analog LC-ladder lters Fig. 2.43 and arrive at DT resonator based lters built around second order resonators with real coefcients. Under certain restrictions, these resonators can be implemented with very hardware efcient structures requiring only two multipliers. The above publications utilize a large number of resonators. However, the tunability, simplicity, scalability and robustness of resonator based lters makes them an attractive choice for BIST applications, even with only one or a few resonators.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

72

2. Fundamentals

2.9.4. Comparison of Filter Structures


Tab. 2.2 summarizes the specic advantages and disadvantages of the DT lters that have been presented in this section (Fig. 2.38). The target application requires tunable narrowband band-pass lters with minimal area, favoring the resonator-based lter structure. Direct Form Ease of design Flexibility Robustness Area Consumption Tunability + + WDF + + + Resonator-Based o + + +

Table 2.2.: Qualitative comparison of digital lter families

Christian Mnker

March 10, 2010

Any sufciently advanced technology is indistinguishable from magic.

Arthur C. Clarke

Introduction to the Circuit-Under-Test


After some general background on phase-locked loop (PLL) theory, the circuitunder-test (CUT), a sigma-delta modulated radio-frequency PLL as a central part of the DUT is described. A short overview of the device-under-test (DUT) is given as well, a highly integrated wireless transceiver for GSM and EDGE applications. Finally, the critical specications that need to be veried during test are summarized.

Frequency synthesizers belong to the most critical components of modern communication systems. They generate the local oscillator (LO) signal for upconversion and transmission of data over the air or some kind of wire-bound interface and for downconversion of the received signal back down into the baseband domain. In most systems, frequency synthesis is performed with a phase locked loop (PLL) which locks the divided signal of a high frequency oscillator to the signal of a stable reference oscillator. An ideal carrier signal would have a single spectral line at the oscillation frequency. In reality, random noise and other unwanted signals modulate the carrier. In the time domain, these disturbances can be seen as jitter, reducing e.g. the data eye of a clock and data recovery unit. In the frequency domain, the disturbances show as noise skirts as well as discrete lines around the carrier. These lines, created by periodic disturbances are called spurious sidebands. (Fig. 3.1).

74
Vctrl + v e
V
ctrl

3. Introduction to the Circuit-Under-Test


|Svco(f)|

Tref

f0f ref

f0

f0+f ref

Figure 3.1.: Disturbance of the VCO control voltage producing phase noise and spurious sidebands on the VCO output

As the limited number of frequency channels has to accommodate more and more network subscribers, bandwidth has become a valuable resource that may not be wasted by spurious emissions. In order to use this resource most effectively, frequency synthesizers have to fulll ever increasing demands:
Fast settling time: GSM and some other communications standards use fre-

quency division duplexing (FDD) / time division multiple access (TDMA) which changes frequencies between every receive and transmit slot. For optimum usage of time and frequency slots, this frequency hopping has to be as fast and smooth as possible, requiring tight control of loop bandwidth and phase margin.
Low phase noise and spurious sidebands: Noise and sidebands from the lo-

cal oscillator can leak into other frequency channels during transmission, disturbing other subscribers. While receiving, local oscillator disturbances can convert signal disturbances down into the target channel, desensitizing the receiver. Noise within the channel bandwidth is also unwanted because it increases the SNR and hence the bit error rate (BER) for both receive and transmit case. As a consequence, in-band and out-of-band PLL noise needs to be tightly controlled to fulll communication standards and system specications. Concepts for on-chip calibration and test help achieving these goals: BISC increases the yield by calibrating the loop parameters under all conditions, avoiding costly calibration routines during production. BIST reduces production test times and cost by running slow tests on-chip and reducing the requirements for external test equipment.

Christian Mnker

March 10, 2010

3.1. Basic PLL Theory

75

3.1. Basic PLL Theory


Detailed analysis is found in [Bes98, Gar79]; in the following, only some important results are excerpted:
Phase Detector / Charge Pump Loop Filter
= 0 N

ref

VCO
0

div

PD + CP

F(s)

cut here to open loop

Divider
1 N

Figure 3.2.: PLL block diagram

Fig. 3.2 shows a block diagram of a PLL, Fig. 3.3 its control theory equivalent.
PD / CP / LF / VCO
ref e G(s) 0

open loop

Divider
div

H FB (s)

Figure 3.3.: PLL block diagram - control theory point of view

Usually, the reference phase re f is regarded as the input and the VCO phase 0 as the output signal of a PLL (Fig. 3.2). Then, the forward transfer function G(s) (open feedback path) is given by G(s) := Kvco 0 (s) = K KF0 F(s) e (s) s (3.1.1)

where K is the (linearized) gain of the phase detector (PD), dening the ratio

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

76

3. Introduction to the Circuit-Under-Test

of average output voltage and phase error at the input. KF0 is a proportionality factor of the loop lter, including e.g. the current of a charge pump (CP), F(s) is the frequency dependent part. Kvco denes the ratio of frequency change of the VCO and the change of its input voltage. The PLL controls the phase of the (divided) VCO signal, the inherent perfect integration of the VCO frequency always creates one pole at the origin (1/s, Type I PLL). An integrating loop lter or a charge pump (CP) add a second pole at the origin (Type II PLL), requiring a zero for stabilization. The divider divides phase and frequency by N (Sec. 2.3.3). The product of forward and feedback transfer function is the (open) loop gain G(s)/N (3.1.2).

As (s) = G(s)/N =

KPD Kvco KF0 F(s) KO F(s) div (s) = = re f (s) Ns Ns

(3.1.2)

As the loop lter is a low-pass, the loop gain also has a low pass characteristic. Its order - which is also the order of the PLL - is the total number of poles of the loop gain G(s)/N. It is larger by one than the order of the low pass due to the integrating behavior of the VCO. Like most control systems, the behavior of a well-designed PLL can be approximated by a second order system, neglecting the higher order poles of the loop lter:

F(s)

1 1 + s/1

for type I PLLs (3.1.3) for type II PLLs

1 + s/1 sC

For the loop lter of a type I PLL, only the dominant loop lter pole 1 is taken into account; for a type II CP PLL the main integration capacitor C and the zero at 2 = (R2C)1 are regarded. Closing the loop in Fig. 3.3 gives the closed loop transfer function T (s) (3.1.5):

Christian Mnker

March 10, 2010

3.1. Basic PLL Theory

77

T (s) =

where n is the natural frequency and the damping, the usual nomenclature for second order systems with KO 1 N KO NC N n N 1 = KO 2KO KOC n = N 22

0 (s) G(s) KO F(s) = = re f (s) 1 + G(s)/N s + KO F(s)/N 2 N n for type I PLLs s2 + 2 n s + 2 n 2 N 2 /n s + n 2 for type II PLLs 2 s + 2 n s + n

(3.1.4)

(3.1.5)

n =

1 2 R2 2

for type I PLLs and

(3.1.6)

n =

for type II PLLs.

(3.1.7)

The loop gain transit frequency sT where the loop gain magnitude becomes one, |G( jsT )/N| = 1, is an important parameter for the design of PLLs (and other control systems):

Type I PLLs: Type II PLLs:

c n c 2n

for = 0.707

(3.1.8)

(3.1.4) shows that the closed loop transfer function of all PLLs can be approximated by (3.1.9), visualized in Fig. 3.4: For frequencies far below the loop gain transit frequency, sT , the loop gain magnitude |G( j )/N| 1 and the closed loop gain |T ( j )| is only determined by the division ratio N in the feedback path. Deviations of e.g. the reference phase are multiplied by the divider ratio, PLL phase noise in this in-band region typically has a constant PSD and is dominated by reference, charge pump and phase detector noise.

|T ( j )|

N |G( )| (sT / ) pz

for sT [|GH( )| 1] for sT [|GH( )| 1]

(3.1.9)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

78

3. Introduction to the Circuit-Under-Test

At frequencies above the unity gain frequency, sT , the feedback loop is no longer effective (|G( j )/N| 1) and the transfer function is determined by the forward gain function G( j ). In this frequency range, the PLL behaves like a low-pass of order np nz and the closed loop transfer function drops with (np nz) 20 dB/dec. PLL phase noise outside the loop bandwidth is dominated by the VCO with a PSD that drops with -20 dB/dec. Depending on the phase margin, |T ( j )| may exhibit peaking at frequencies around sT .
log |T(j ) | log N 3dB B Bn f

Figure 3.4.: Closed loop gain |T ( j )| and noise bandwidth Bn

The loop gain transit frequency is also approximately equal to the -3 dB frequency B of the closed loop (exact for a second order system with phase margin of 45 deg), B [rad/s] c . It species the maximum change rate of the reference signal the PLL output still can follow. This is also true for changes of the divider ratio which is used to modulate PLLs. Hence, the noise bandwidth BN for the reference input and the divide ratio input also directly depends upon the closed loop bandwidth B. . |T ( j )| = |T (0)|/ 2 = N/ 2 (3.1.10)

B also gives the maximum frequency up to which noise from the VCO is suppressed by the control loop operation - for this noise component, a smaller bandwidth means worse noise performance. This shows that the closed loop bandwidth B is a key performance parameter that has to be veried during production test.

3.2. Circuit-Under-Test
Only a few years ago, most commercially available GPRS / EDGE transceivers were based on direct conversion architectures. A severe challenge in the design of

Christian Mnker

March 10, 2010

3.2. Circuit-Under-Test

79

direct modulation transmitters is preventing feedback from the Power Amplier (PA) output to the unmodulated VCO which creates spurious sidebands [Lee98]. This was achieved with cost-intensive shielding boxes and external lters for integrated BiCMOS transceivers until commercial pressure forced chip makers to come up with more robust architectures and to migrate to low-cost CMOS technologies.

Figure 3.5.: Principle of -modulated PLL with predistortion [GKM+ 03]

High integration density of modern CMOS technologies enabled the implementation of advanced DSP techniques for digital signal generation. In [GKM+ 03], a quad-band GSM transceiver is presented in a 130 nm CMOS technology that utilizes a digital sigma-delta modulation transmitter (Fig. 3.5). The integrated VCO is modulated digitally and runs at a multiple of the modulated transmit frequency, making it much less sensitive to PA feedback than a direct conversion architecture. On-chip calibration loops (Built-In Self-Calibration, BISC) were used to overcome one of the main drawbacks of CMOS technologies, the increased parameter spread compared to technologies optimized for analog performance. Specically, VCO bands and loop gain have to be calibrated before each frequency hop [MSMG02, MS03]. Fig. 3.6 shows a simulation of lock-in, obtained with the simulation methodology described in Sec. 4.5. Despite all digital calibrations, an excellent overall settling time of less than 120 s is achieved. These BISC blocks were also used for a basic Built-In Self-Tests (BIST) of the VCO and the multi-modulus divider to speed up production tests. Due to the combined advantages of ne frequency granularity, fast settling, low

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

80

3. Introduction to the Circuit-Under-Test

Digital Signals

BuiltIn SelfCalibration

f Analog LockIn
VTune

Figure 3.6.: Simulation of PLL lock-in with built-in self-calibration

phase noise and digital modulation capabilities [CKHS04, MS02a], most integrated transceiver circuits presented in the last few years utilize fractional-N PLLs (PLLs) for frequency synthesis. The device-under-test (DUT) is a wireless transceiver for GSM and EDGE build around a PLL for frequency synthesis and digital modulation (Fig. 3.8). Frequency modulation is achieved modulating the division ratio of the PLL, enabled by the small granularity. Phase modulation for GSM is implemented as indirect PM, i.e. by frequency modulation with the differentiated message signal. The higher device noise level of CMOS technologies compared to bipolar and BiCMOS technologies mandates a narrow PLL loop bandwidth. Typical inband phase noise levels that can be achieved with PLLs with a reference frequency of 13 or 26 MHz are -90 . . . -100 dBc/Hz, requiring a loop bandwidth of 80 . . . 100 kHz to meet the spectral mask requirements at an offset of 400 kHz [Mr00]. This is in contrast to the goal of wide modulation bandwidths: Fig. 3.7 shows the RMS phase error of a typical GSM modulation loop without predistortion as a function of the loop bandwidth, demonstrating that a bandwidth of approx. 500 kHz is needed to meet GSM specs (5 ), neglecting other error sources. Therefore, many PLLs apply bandwidth extension techniques to achieve a sig-

Christian Mnker

March 10, 2010

3.2. Circuit-Under-Test

81

nal bandwidth exceeding the PLL bandwidth: The modulator architecture depicted in Fig. 3.5 uses digital ltering plus predistortion or pre-emphasis. Signal bandwidth is extended by using a pre-emphasis lter with the inverse to the closed-loop transfer function T (s) [PTS97].

The characteristic of the digital lter with pre-emphasis is dened by design while the PLL transfer function depends on technology and environmental parameters [Per97, p. 65 93]. In practical implementations, this requires some form of adjustment or, preferably, self-calibration to ensure sufcient matching between loop and pre-emphasis.

10

rms [ ]

12

f 8

ref

= 13 MHz

rms

= 4.81 @

3 dB

= 540.42 kHz

4 2 f 0 5 10 6 10 7 10
3 dB
rms

= 0.74 @

3 dB

= 1358.7 kHz

[Hz]

Figure 3.7.: RMS phase error as a function of the open loop bandwidth [Mr00]

PLLs with bandwidth extension have rst been used for Frequency Shift Keying (FSK) applications where the hard switching between frequencies requires a large bandwidth [Per97] and a few years later for modulation standards with tightly controlled bandwidth like Gaussian Minimum Shift Keying (GMSK) [Bax99, Mr00].

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

82

3. Introduction to the Circuit-Under-Test

Figure 3.8.: Block diagram of quad band GSM transceiver

3.3. PLL Specications and Test Methods


Three aspects are especially important for the performance of RF PLLs:
Loop bandwidth and open loop gain are critical for performance in modula-

tion loop architectures, especially when bandwidth extension techniques are applied. These techniques rely on the matching of analog PLL characteristic and digital pre-emphasis lter, deviations distort the modulation signal. Both parameters also directly inuence the noise bandwidth and hence the
Total in-band phase noise of the PLL that has to be low enough not to degrade

the bit error rate in both receive and transmit mode.


Spectral mask requirements need to be fullled in transmit mode to avoid dis-

turbances of neighbor channels and receive band. One of the parameters that is especially difcult to achieve is the specication of -113 dBc/Hz at an offset of 400 kHz, requiring a narrow PLL noise bandwidth in the range of 80 . . . 100 kHz. Communication standards operating with constant envelope modulation (GSM, TDMA) specify peak and RMS phase error over one burst, non-constant envelope standards like EDGE or UMTS usually specify the maximum error vector

Christian Mnker

March 10, 2010

3.3. PLL Specications and Test Methods

83

magnitude (EVM). Typically, half of the error budget has to be reserved for other error sources outside the PLL like frequency difference between handheld device and base station, wideband noise of the VCO buffer or imperfections of modulator / demodulator. Within the PLL, both modulation distortions and phase noise contribute to the integral error.

Power Density [dBc(Hz)]

Offset Frequency [kHz]

Figure 3.9.: Power spectral density mask for GSM 900 and DCS 1800 [Mr00]

The maximum emission levels in the GSM system specication at given offset frequencies are measured with a spectrum analyzer with dened measurement lter and resolution bandwidth (RBW). In Fig. 3.9 [Mr00], the GSM spectral specications are shown as PSDs for a bandwidth of 1 Hz for comparison with the SP-BIST spectral analyzer. The question how much the bandwidth B of the closed loop may deviate from its nominal value depends on the transceiver architecture: For unmodulated PLLs, the integrated VCO noise ( B1 ) and reference noise ( B) as well as the settling time ( B1 ) determine the acceptable bandwidth range, requiring control to typically 20%.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

84

3. Introduction to the Circuit-Under-Test

Modulated PLLs require a tighter control of bandwidth variations as mismatches between the modulation / pre-emphasis lter and the low-pass characteristic of the PLL create distortions of the modulated signal. When PLLs are used to create the angle modulation part in polar modulators [MKNM05, MNM+ 05], the loop bandwidth has to be controlled even tighter to minimize mismatches between phase and amplitude modulation paths.
10
[ ]

5.0

2.5

7.5

rms

OLG
0 20 15 10 5 0 5 10 15 20

[%]

Figure 3.10.: RMS phase error as a function of the open loop gain error [Mr00]

Time-constant variations of integrated loop lters typically are in the order of 20%, creating a bandwidth variation of the same order (3.1.8). Open loop gain KO is another main contributor to bandwidth variations in the same order of magnitude as it includes the gain variations of VCO, PD, CP and loop lter. Consequently, several methods have been developed for loop gain calibration / testing, either operating on the open [MSMG02] or the closed loop [MS02a]. However, these methods cannot track the variations due to loop lter time constants, resulting in a larger tolerance band. Alternatively, precise external components (usually too expensive and large) or switched capacitor solutions (potential issues with switching noise) can be used. The following estimations have been made for the RMS phase error due to loop bandwidth variations in a typical GSM systems with modulation loop [Mr00]: Variations of open loop gain in the range of 10% typically result in an

Christian Mnker

March 10, 2010

3.3. PLL Specications and Test Methods


additional phase error of 3 . . . 5

85

Variations in the loop lter components of only 10% create an RMS phase error of rms 3 . Either case consumes more of the phase error budget than is typically allowed for the complete PLL, showing the need for precise monitoring and possibly calibration of the loop parameters. As a consequence, the closed loop bandwidth including the aforementioned error sources should be measured to an accuracy of 5%. Near the -3 dB point, the closed loop transfer function behaves like a rstorder lowpass, rolling off with ca. -20 dB/dec. Hence, an amplitude measurement error A directly translates to a bandwidth measurement error B : |B | < 5% |A | < 5% 0.4 dB As loop bandwidth and in-band phase noise inuence all critical PLL performance aspects, precise on-chip measurement of both parameters is of paramount importance. Additionally, the RF signal has to measured at a few critical offset frequencies to verify conformance to spectral mask for modulated and unmodulated signal. These frequencies are usually known from lab evaluation. Finally, the out-of-band noise, dominated by VCO and VCO buffer has to be determined. For the GSM case, the following requirements result:
Amplitude Accuracy: Frequency response has to be measured with an ampli-

tude accuracy of 0.4 dB.


Noise Floor: Phase noise oor has to be below -90 dBc/Hz for in-band noise

measurements, preferably even lower.


Spectral Mask: Spurious tones and modulation have to be measured, the most

difcult being the 400 kHz corner where the maximum emission level is -113 dBc/Hz.
Out-of-Band Noise : Maximum emission level in TX mode is -129 dBc/Hz at 6

MHz offset Similar requirements can be collected for other wireless standards.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

86

3. Introduction to the Circuit-Under-Test

Christian Mnker

March 10, 2010

If at rst the idea is not absurd, then there is no hope for it.

Albert Einstein

Concept and Simulation Methodology for Spectral BIST


A self-test concept for integrated PLLs is introduced that allows the direct measurement of spectral parameters in RF PLLs. The measurement principle and the partitioning of signal processing between chip and production tester are explained. Furthermore, a new simulation methodology is introduced for RF systems with a large digital part like PLLs, allowing efcient co-simulation of analog blocks in a digital simulator by using discrete-time behavioral VHDL modeling.

4.1. RF PLL Test Concept


Wireless devices and circuits are usually specied in the frequency domain, reecting the specications of transmission standards using frequency division multiplexing and duplexing. During production test, these specications have to be veried. In highly integrated RF ICs, the test of individual building blocks proves increasingly difcult as internal signals are not routed to package pins to save area and to reduce the risk of unwanted crosstalk. Fig. 3.8 shows the block diagram of an integrated GPRS - transceiver produced by Inneon Tech-

88

4. Concept and Simulation Methodology for Spectral BIST

nologies in 2003. By todays standards, the level of integration is comparatively low, but already here the PLL is inaccessible from the outside. Multiplexing the PLL RF output to a shared test pin does not work well as parasitics and crosstalk deteriorate the signal quality, possibly also during normal operation mode.

MultiTone Stimulus Generator

DUT
mod CUT RF

Spectral Output Response Analysis

PLL

SPBIST

BIST Control and Data Bus

BIST BUS

Figure 4.1.: Spectral PLL BIST Concept

This makes on-chip spectral analysis of RF PLLs a highly attractive feature for improving the testability; it can also reduce the hardware requirements for the production tester. While spectral parameters could be derived from e.g. the step response, a direct measurement of spectral parameters (Fig. 4.1) is desirable as the translation of time domain parameters into the frequency domain requires high digital signal processing power.

4.2. Measurement Principle


4.2.1. PLL Bandwidth
As shown in Sec. 3.1, the loop bandwidth denes the maximum modulation frequency of a PLL at the low-pass modulation points (reference input, loop lter input, divider ratio). Modulation frequencies above the loop bandwidth give reduced frequency excursions, corresponding to a smaller modulation index. A PLL operates on phase excursions (as the name implies), however, it is easier to generate frequency modulation using digital techniques: Varying the division ratio changes the output frequency, applying the frequency control word as an oversampled M bitstream achieves a ne granularity of frequency variation. This is utilized in indirect PM where the PLL is frequency modulated with the differentiated modulation signal.

Christian Mnker

March 10, 2010

4.2. Measurement Principle

89

The resulting modulation of the PLL signal can be determined from its frequency deviation, phase deviation or its amplitude spectrum. While the latter is the standard measure used e.g. in a spectrum analyzer, a simple relation to phase or frequency deviation only exists for small angle modulation indices (Sec. 2.2.2). However, high modulation indices are advantageous for a good SNR with simple demodulators. Phase deviation is inversely proportional to modulation frequency (-20 db/dec), attenuation due to the loop bandwidth can be only be determined as a deviation from this slope (Fig. 4.2). This makes an FM discriminator the ideal choice as its output is a direct measure for the frequency response of the PLL (Fig. 4.2).

CUT
sstim
fm

BW

fm

FracN PLL

f0

sPLL f0
f

FM Demod.

sora fm

Sstim (f)
Am1,2

Sy,PLL (f) y m1 y
m2

S ,PLL(f)
f1

Sora(f) Ad1 Ad2 f


Loop ristic acte Char

Loop ristic acte Char

20

f2

dB /de

f
fm1 fm2

f
fm1 fm2

fm1 fm2

fm1 fm2

Figure 4.2.: Principle of PLL bandwidth measurement

4.2.2. Spectral Analysis with FM Discriminator


In addition to PLL bandwidth measurements, the SP-BIST shall also be used to measure unwanted sidebands and in-band noise. As the SP-BIST only delivers the power spectral density (PSD) of frequency deviation, it has to be converted to phase noise to obtain results that can be compared to conventional lab equipment and ATE hardware. As shown in Sec. 2.3, PSD of phase deviation S is derived from frequency deviation Sy via (4.2.1):

f fm

and

4Su ( f0 + fm ) 2L ( fm ) = S ( fm ) =

2 f0 S (f ) 2 y m fm

(4.2.1)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

90

4. Concept and Simulation Methodology for Spectral BIST

(4.2.1) also shows that frequency discrimination emphasizes high-frequency phase modulation components.

4.3. From MADBIST to SP-BIST


The Mixed Analog-Digital Built-In Self-Test (MADBIST) concept [TR93b] described in Sec. 1.2.6 was introduced to ease production test of embedded ADCs and DACs in ICs. The following features make MADBIST a good starting point for the development of an RF self-test concept: The stimulus, a multi-tone signal, is generated entirely in the digital domain. The response is analyzed entirely in the digital domain, delivering spectral information. Analog signal paths remain (nearly) untouched. In contrast to e.g. HBIST, parameters extracted by spectral techniques have "reallife" meanings like noise level or tone amplitude which allow easy implementation of tolerance bands for pass / fail decisions. As a consequence, complex measurement scenarios like signal-to-noise ratio or -3dB frequency can be reduced to a few measurements. Building upon the basic MADBIST concept, a new self-test solution for RF PLLs has been developed under the name of Spectral PLL BIST (SP-BIST). Fig. 4.3 shows both concepts side by side. The main difference between the two concepts is the embedding of the DUT: The PLL RF output has to be demodulated and digitized to obtain a baseband signal containing frequency and phase deviation. The ADC output is digital and can be processed right away. The PLL can be modulated directly with a digital bit stream. In contrast, the analog ADC input requires an analog multiplexer, an auxiliary DAC and an analog reconstruction lter. SP-BIST has been optimized for low chip area and low ATE requirements. This has been achieved mainly by an optimized test partitioning between BIST and ATE and by reducing accuracy without sacricing precision (Sec. 4.4); the measurement bias is removed on the ATE:

Christian Mnker

March 10, 2010

4.3. From MADBIST to SP-BIST

91

DUT
DAC
f

Optional LoopBack Test

RecFilter

SW

ANA OUT

DSP
ADC
f

ANA IN MUX BIST CTRL

AAFilter

CUT
1b Aux DAC Control Bus

Bandpass

MultiTone Generator

Ana. SDM Dig.

MADBIST
(a)

Data Bus

BIST DATA

DUT
DSP
Frequency + Modulation Word

RF Transmit Path
PolarModulator

TX OUT

PLL
MultiTone Generator

FM Demod

TX OUTB

CUT
BIST BUS
t

Control and Data Bus

RF SDM Dig.

SPBIST

Envelope

Bandpass

(b)

Figure 4.3.: Mixed Analog-Digital BIST (MADBIST) (a) vs. Spectral PLL BIST (SP-BIST) (b)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

92

4. Concept and Simulation Methodology for Spectral BIST

Reduction of tester bandwidth: Only high-speed operations are performed on-

chip; the result is generated as a static word that is read-out via the control and data bus of the DUT.
The staggered forth order tunable band-pass lter has a low scalloping loss

that tolerates slight deviations between stimulus and band-pass lter frequency. This allows coarse coefcient quantization reduced coefcient accuracy improves selectivity in comparison to the second order lter of the original design. The coarse coefcient quantization results in a slight deviation between tone frequency and the center frequency of the lter which could be tolerated due to the low scalloping loss of the new staggered lter. The remaining systematic errors and bias were removed in software on the ATE. It should be noted that MADBIST can be implemented with nearly no cost together with the SP-BIST: The multi-tone generator has a parallel output that can be used to stimulate e.g. DACs and an M output for ADC - testing, requiring only an additional one-bit DAC and a simple RC low-pass lter.

4.4. Partitioning of Test Hardware


Minimum hardware complexity of the additional BIST blocks is obtained by performing as much signal processing as possible on the automated test equipment: high-speed data acquisition and compaction is performed on-chip, linearization and other slow but complex algorithms can be performed by the automated test equipment (ATE). Measurements need to be precise (highly repeatable) but not necessarily accurate (close to the true value) as long as the bias can be corrected later on (Sec. 2.1.2). Fig. 4.4 visualizes the difference between accuracy and precision using the well-known target analogy.

(a)

(b)

(c)

Figure 4.4.: Measurements with low accuracy and high precision (a), high accuracy and low precision (b) and high accuracy and high precision (c)

Christian Mnker

March 10, 2010

4.5. Simulation Methodology

93

In this work, the following operations are performed on the ATE to minimize hardware: Gain correction (neither stimulus nor band-pass gain is normalized) Frequency dependent band-pass gain (Sec. 6.3.4) Correction of non-linear dependency between programming parameter and stimulus resp. band-pass center frequency (Sec. 7.1.1) Frequency dependent stimulus amplitude (Sec. 7.1.2)

4.5. Simulation Methodology


The paradigm shift from pure analog RF ICs to highly integrated System-OnChip (SOC) solutions described in the introduction also has a major impact on verication methodology. A few years ago the borderline between analog and digital circuitry was well dened: RF ICs had a relatively low complexity and were implemented on technologies optimized for analog performance. RF blocks were simulated using special RF simulators like SpectreRF or ADS which offer simulation modes optimized for RF problems like harmonic balance or periodic steady state analysis. These simulation modes are extensions of SPICE-like (Simulation Program with Integrated Circuit Emphasis) analog simulators which are essentially non-linear differential equation solvers. Detailed device and parasitics models and the complex simulation algorithms limit the number of devices that can be simulated at the same time. Therefore, verication on chip level usually is performed by running an analog simulation of the whole chip with simplied analog behavioral models for the RF blocks. DSP functionality was implemented on a separate chip in a standard CMOS technology and veried using digital hardware description languages (HDLs) like Verilog, VHDL etc. with event-driven simulators optimized for large digital designs. Simulation of analog designs with small digital parts (big A, small d) like an ADC with self-calibration can be sped up using mixed-mode simulators which couple an event-driven simulator core with a non-linear differential equation solver. However, this approach is still too slow for complex chips, long time frames or when there is a close interaction between analog and digital parts. Analytical approaches using Matlab, Excel etc. can verify chip performance on an abstract level (level plans etc.) but integration of digital circuit blocks to verify

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

94

4. Concept and Simulation Methodology for Spectral BIST

e.g. a calibration algorithm is difcult and slow. Current RF SOCs integrate RF building blocks together with digital logic exceeding a million gates in some cases [SML+ 04]. Calibration algorithms involve complex interactions between RF and digital parts, noise performance is determined by analog and digital parameters alike. These systems cannot be simulated with a mixed-mode simulator, much less with SPICE type tools. The target is an "unied functional verication approach" i.e. using behavioral models for the RF blocks that can be simulated using an event-driven, discrete time simulator. Fortunately, modern HDLs like VHDL have powerful signal algorithmic capabilities allowing an efcient modeling of analog blocks in the digital domain: s-domain transfer functions can be translated into the discrete-time z-domain [Mn04]; oscillators generate events timed with their oscillation frequency and phase noise is described by jitter processes [SFB05]. This approach allows to freely mix abstract behavioral models with gate level digital blocks. In this work, VHDL was chosen as the modeling language because its behavioral possibilities are far superior to Verilog. The suitability of SystemC was also tested in a Master thesis [Lay05] but at that time, stability and tool chain support were not convincing. Matlab provides the missing capabilities of digital simulation environments for post-processing and plotting data in the frequency domain (Sec. 4.5.5).

4.5.1. Special Requirements for PLLs


The simulation of PLLs is a challenging task due to the large range of time constants: The PLL of the CUT has an output frequency around 4 GHz (Tvco = 250 ps), a reference frequency of 26 MHz (Tre f = 38.5 ns) and a loop lter corner frequency of 100 kHz ( = 15.9 s). In order to verify spectral purity, the loop lter voltage has to be calculated with a precision of a few V. Classical mixedsignal simulation has proved to be far too time consuming, a faster method is to apply the event-driven approach known from digital simulation. A block diagram of the CUT is shown in Fig. 4.5. The simulation methodology has originally been developed by the author to verify the correct lock-in behavior of the PLL and to simulate the total phase noise performance at the VCO output stemming from digital -quantization noise and jitter in the VCO. quantization noise is produced by the SD-modulator and the multi-modulus divider. Accumulative (FM) and non-accumulative (PM) jitters are included in the VCO model as described in [GKM+ 03, SFB05]. The required noise parameters are extracted from analog simulations.

Christian Mnker

March 10, 2010

4.5. Simulation Methodology

95

In this work, correct interaction between PLL and SP-BIST in the time and frequency domain is veried using this method.
reference frequency output frequency

Phase Frequency Detector Loop Filter VCO

1/N
Divider integer part fractional part Modulator

Figure 4.5.: Block diagram of Fractional-N PLL

Fig. 4.6 shows the partitioning of the system for simulation purposes: Most parts of the PLL and the BIST circuit like the modulator and multi-tone generator have been designed in VHDL anyway, others like the multi-modulus divider or the phase detector are high-speed logic blocks that are described in behavioral VHDL easily. The modeling of two analog blocks - the VCO and the loop lter in a discrete-time environment is described in the next section.

4.5.2. Discrete Time Modeling of Analog Blocks


Loop Filter

The loop lter of the CUT is a non-integrating (type I PLL), third order analog low-pass (Fig. A.1). For DT simulations, it is modeled as a DT direct-form lter (A.1.2), obtained by bilinear transform of the CT transfer function (A.1). The lter calculation is performed twice per lter clock period which has an arbitrary frequency that should be 10x ... 20x higher than the maximum input frequency to achieve a reasonable accuracy of the DT lter characteristic. Higher clock frequencies slow down the simulation unnecessarily. However, the sampled lter model creates major problems for PLL simulations: The lter runs with a xed sampling period TS, f ilt while the charge pump can

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

96

4. Concept and Simulation Methodology for Spectral BIST

Stimuli

mod

FIFO
VHDL

Gauss and Predist.Filt.


VHDL

MASH
VHDL

VHDL

MultiTone Stimulus Generator

fref

MMD
VHDL (Beh)

PD/CP
fref
VHDL (Beh)

Loop Filter
VHDL (real)

VCO
VHDL (real)

CUT

BISC

VHDL

SPBIST DUT
RF

Figure 4.6.: Simulation setup for CUT and SP-BIST

basically switch at any time1 . This means, the lter model will react to a change of the charge pump output with an average latency of TS, f ilt /2, creating the beat frequency effect between fS, f ilt and fre f shown in Fig. 4.7 for fS, f ilt = 2 GHz and fre f = 26 MHz. In the frequency domain, the strong ripple of the loop lter voltage in Fig. 4.7 created spurious sidebands with a level of -81 dBc. The lter sampling frequency has to be increased to thousands of GHz before the simulation artifacts become reasonably small, slowing down simulation tremendously.

Figure 4.7.: PLL simulation error due to sampled lter model


1 Limited

only by the minimum VHDL simulator resolution of 1 fs.

Christian Mnker

Spectral Output Response Analyzer

VHDL

March 10, 2010

Plot

Control Unit and Registers

VHDL

4.5. Simulation Methodology

97

Instead, a much more efcient solution has been developed where the timing error of the sampled lter is translated into a scaled amplitude of the next input value for the lter (App. A.1): The timing error is corrected in the lter model by translating it into an analog amplitude value which can be handled by the lter without error. The model tracks the time between the last switching of the phase detector / charge pump and the next sampling clock event and scales the lter input with the ratio of this time and the full sampling period (fractional compensation) (Fig. 4.8).
CP FiltClk Thigh Filt in V tune TS,Filt

11 00

t
Figure 4.8.: Principle of fractional compensation

This linear compensation produces small "kinks" in the loop lter voltage every time the charge pump CP switches that are visible in Fig. 4.9. However, the spurious sidebands due to the sampling error are reduced by approx. 40 dB, requiring no further renement of the model.

VCO Modeling

The efciency of VCO simulation is increased tremendously by ignoring the amplitude information and regarding only the zero crossings. This simplication is justiable for the case of PLL simulation as the output is amplitude limited anyway. In the analog world, VCO amplitude noise is converted to phase noise in the limiting stages that can be included in the VHDL phase noise model. The VHDL model in App. A.2 calculates the ideal VCO period in fs. Last cycles truncation error, i.e. the remainder is added to the current period to avoid accumulation of the truncation error as this would give a period error of ca. 0.5 fs (Sec. 4.5.3).

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

98

4. Concept and Simulation Methodology for Spectral BIST

4.5.3. Limitations of Event-Driven Analog Simulation


Analog simulators use elaborate algorithms to solve non-linear differential equations and adjust the simulation time-step according to signal transients and accuracy requirements. An event-driven simulator is only capable of calculating explicit equations like x(n) = A sin 0tn at pre-calculated times stored in an event queue. Simulating the amplitude and frequency of an LC-oscillator with a SPICE simulator requires only the model parameters and netlist elements without user interaction. For an event-driven simulation, the oscillator needs to be modeled e.g. using the well-known LC-tank formula. The amplitude resolution of oating point real numbers in event driven simulators is the same as in analog simulators (data type double with 52 bits for the mantissa):

= 252 2.2 1016


This corresponds to approximately 16 decimal digits of accuracy and is more than sufcient for most applications. Timestep resolution is a different matter: In VHDL and SystemC, events are timed using a 64 bit integer variable and a minimum timestep of Tq = 1 fs. The resulting maximum simulation time is 263 fs 2 1/2 hrs which is plenty for the purposes of this work. However, this quantization leads to a timing error T < Tq when timing events are derived from calculations in real format (see VCO model). The truncation will create the event a fraction of a fs earlier than calculated. For an oscillator, the calculated period T0 is shortened by T resulting in a small frequency error f . TQ 1 + T /T0 1 T 1 1 = 2 2 T0 T T0 T0 T0 T0 T0

f = f0,Q f0 =

(4.5.1)

For a target oscillator frequency around f0 = 4 GHz, a worst case estimation T = TQ = 1 fs yields f = 16.8 kHz (4.5.1). This frequency error is too large for a precise simulation of most communication standards. Tracking the difference between calculated and quantized period and correcting it in the next period brings the average frequency error to zero at the price of introducing a period jitter. The jitter has a uniform distribution in the range

Christian Mnker

March 10, 2010

4.5. Simulation Methodology

99

2 TQ /2 . . . + TQ /2 with zero mean, variance 2 = TQ /12 and an RMS value = TQ /(2 3) = 0.29 fs.

In driven blocks like ip-ops or buffers, this jitter modulates the phase with a constant power spectral density (PSD) in the range 0 . . . f0 /2 (cyclostationary noise). Converting the jitter to phase error by multiplying it with = 2 f0 yields the quantization noise power, constant phase PSD and the phase noise over the bandwidth of B = f0 /2 (4.5.2) - (4.5.4):

PQ,N = 2 2 = S ( f ) =

2PQ,N f0 S ( f ) L(f) = 199 dBc/Hz 2

( f0 TQ )2 = 52.6 1012 W 102.8 dBW 3 W 196 dBc/Hz = 2.63 1020 Hz

(4.5.2) (4.5.3) (4.5.4)

This "simulation quantization noise oor" is low enough for PLL / VCO applications with a minimum noise oor of -160 . . . -170 dBc/Hz. In autonomous blocks like oscillators, the jitter modulates the period: Referred to T0 = 250 ps, the unit interval jitter is JUI,rms 1.2 106 . This jitter is white FM phase noise with a PSD of ca. -134 dBc/Hz at 1 MHz offset. The period quantization error bears a strong correlation to the carrier period which may produce spurious lines. To decorrelate the quantization error, some jitter with dened amplitude and spectral characteristic is added (Sec. 4.5.4) which is also used to model FM and PM noise in the time domain.

4.5.4. Noise / Jitter Modeling


In an event-driven language like VHDL, phase noise can only be represented in the time domain i.e. as jitter. Therefore, the rst step has to be to transfer phase noise specications from the frequency into the time domain. Here, only the special case of white noise is described, although methods for discrete-time modeling of colored noise and power-law random processes like 1/ f noise have been developed [DDHSW01]. In the simplest case, phase noise has a white spectrum and a Gaussian amplitude distribution. It is specied by a single gure in the frequency domain because the PSD is constant over frequency. Correspondingly, in the time domain the jitter

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

100

4. Concept and Simulation Methodology for Spectral BIST

is completely described by its standard deviation . In spite of its simplicity, this noise / jitter model describes Added White Gaussian Noise (AWGN) that is present in many real-world systems with sufcient accuracy. It is converted to timing jitter by non-linear signal processing stages like limiters or logic gates. How can white noise be modeled in VHDL? Non-autonomous blocks like a logic gate or a buffer process events at their inputs and pass the result on to the output with a certain latency. This latency depends on the slew rate at the input, the speed of the actual circuit etc. and varies in a random fashion due to thermal noise in the circuit. Modulating the latency of the digital model with a random process with Gaussian distribution gives white phase (PM) noise spectrum, achieving the wanted effect. The VHDL model for such a random process is described in App. A.3. Oscillators are autonomous blocks where thermal noise creates to random uctuations of the oscillation period. Hence, modulating the period of an oscillator model with a suitable random process creates white frequency (FM) noise. A VCO model containing both FM and PM noise is described in App. A.2.

4.5.5. Spectral Estimation of Simulation Results


In contrast to analog or mixed-signal simulators, digital simulators offer no postprocessing options to regard simulation results in the frequency domain. The workaround to this drawback is based on the solution described in [Kun05], where the period data of the VCO is written to a text le. Spectral analysis of the period data, contained in the deviations of the zero crossings from ideal times, is performed with MATLAB (Sec. 2.4).

4.5.6. PLL Simulation Results


Some simulation results are presented to demonstrate the power of the developed simulation and modeling method. All the examples in this section have been simulated with an unmodulated PLL at a fractional frequency. The left abscissa displays the level of spectral (spurious) lines, the right one has been corrected with the resolution bandwidth for noise levels. Fig. 4.9 shows a simulation of the loop lter output voltage ripple, created by the lters nite suppression of the PLL reference frequency. The pk-pk amplitude is approx. 10 V, as predicted by circuit simulations, resulting in a VCO peak frequency deviation of f = 265 Hz. The VCO gain is 53 MHz/V.

Christian Mnker

March 10, 2010

4.5. Simulation Methodology

101

Fig. 4.10(a) shows the corresponding PLL phase noise spectrum with the spurious line at 26 MHz and a level of -106 dBc, the same result is obtained by calculation (2.3.16), proving the validity of the simulation setup. Phase noise in the simulation is due to the -modulation of the MASH modulator.
10 Vpp

VTune

CP 530 Hz pp 1 / fref

Figure 4.9.: Simulation of PLL tuning voltage with fre f = 26 MHz and fS, f ilt = 1 GHz

In a practical PLL, thermal noise sources lead to a much higher noise level. Instead of adding individual noise source, their integral effects are modeled in Fig. 4.10(b): At high frequencies, noise typically is dominated by the VCO buffer (PM jitter, noise oor), at lower frequencies by the VCO itself (FM jitter, -20 dB/dec). For frequencies below the loop bandwidth (in-band noise), the noise level should be constant (disregarding icker noise). However, this can only be guessed from looking at the simulation results as long simulation times and very large result les are needed to improve the frequency resolution.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

102

4. Concept and Simulation Methodology for Spectral BIST

Figure 4.10.: Simulation of PLL spectrum without modulation (a) and with FM and PM jitter modeling (b)

Christian Mnker

March 10, 2010

There is no carrier, there is only concentrated noise.

B.-G. Goldberg

Test Tone Generation


Test signal generation as the rst part of SP-BIST is developed in this chapter. The most suitable method for this work, a digital oscillator based upon a tunable, undamped resonator with a low-pass -attenuator, is described in detail. Upconversion by the PLL as the circuit-under-test (CUT) into the RF domain is analyzed as well.

As shown in Sec. 3.2, most analog parameters (e.g. VCO and phase detector gain, loop lter time constants) of the PLL directly inuence the loop bandwidth, making measurement of this parameter especially powerful for detecting catastrophic and parametric faults. For this purpose, a base-band test-tone generator is needed with the following requirements: Little area overhead Reusability for new products and technologies Robustness against parameter variations Autonomous operation, i.e. without interaction with other blocks Multi-tone generation for efcient tests in the frequency domain These demands can only be fullled with a mainly digital concept. As mentioned before, quantitative frequency domain characterization is performed more

104

5. Test Tone Generation

efciently using multi-tone signals. Several methods for the digital generation of such signals are compared next.

5.1. Principle of Digital Sine Generator


5.1.1. Direct Digital Synthesis
Direct digital synthesis (DDS) is a table look-up scheme where a digitized sine function is suitably compressed and stored in a read-only memory (ROM). Different signal frequencies are generated by using a phase accumulator with different increments to address the ROM, the content is converted into an analog signal with a DAC. For the purpose of BIST tone generation, this approach is too complex and leads to a large area overhead.

5.1.2. Arbitrary Waveform Generation


Arbitrary waveforms can be efciently encoded into aperiodic pulse-density modulated (PDM) or M serial bit streams. This principle is also based on a lookup table, although the "table" contains only single-bit data that are read out with a high oversampling rate. Compact implementations mandate the use of ring buffers and hence approximating the signal by periodic bit streams, still requiring a large number of registers (a few hundred to a few thousand) for high-quality signals. Generation of multi-tone signals has been demonstrated in [HR98, DR99]. However, the proposal of re-using on-chip RAM or scan chain ip-ops for pattern storage is in contrast to the requirement of an autonomous test block and is difcult to implement in a standard digital design ow. Another disadvantage is that a new pattern needs to be loaded into the chip for each different test case (e.g. amplitude, frequency), increasing test time and volume of test patterns.

5.1.3. Lossless Digital Resonator


In principle, a lossless resonator is the most simple implementation for a sine oscillator with tunable frequency and amplitude. While analog oscillators require some form of gain control to stabilize the amplitude in presence of gain and other

Christian Mnker

March 10, 2010

5.1. Principle of Digital Sine Generator

105

parameter variations, this should not be necessary for digital implementations due to their deterministic nature. Here, direct-form (Fig. 5.1(a)) resonators and resonators based on lossless digital integrators (LDI) are regarded (Fig. 5.1(b)) as both allow placing the pole on the unit circle and tuning the resonance frequency with a single parameter:
LDI (BE)

z 1
y[n] a2 = 1

x[n] g

z 1

z 1

a1
(a)

z 1

LDI (FE)
(b)

Figure 5.1.: Implementations for undamped digital resonators: direct form (a) and LDI-based (b)

The characteristic equation for an undamped resonator (poles on the unit circle) has been derived in (2.7.13): = 1 2 cos r z1 + z2 = 0 The coefcients of the two resonator types above for undamped resonance are determined by comparing the coefcients of their characteristic equation to the general resonator equation (2.7.13). The following condition for undamped resonance has been derived in Sec. 2.7.1 for the direct form resonator in Fig. 5.1(a): = 1 + a1 z1 + a2 z2 a1 = 2 cos r and a2 = 1 (5.1.1)

The LDI-based resonator in Fig. 5.1(b) is a loop of two lossless digital integrators, one of them in a forward Euler (FE), the other one in a backward Euler (BE) integrator conguration. Its characteristic equation is derived using Masons rule [Dor92], yielding the condition for undamped resonance as = 1 (2 g)z1 + z2 2 g = 2 cos r . (5.1.2)

It can be shown that the LDI-based resonator has a higher pole density and hence better SNR for low frequencies (near z = 1), making it more suitable for this work.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

106

5. Test Tone Generation

In both implementations, the coefcient a2,Q is exactly 1, ensuring placement of the pole on the unity circle. The quantization of coefcient a1,Q = Q{2 cos r,Q } = 2 cos r,Q generally results in a slight shift of the resonance frequency r,Q but does not move the pole from the unit circle. For small resonance frequencies r 1, the approximation cos r 1 2 /2 r yields the result

r = arccos 1

g g for r 1 2

(5.1.3)

which is the same result as for an analog or SC oscillator consisting of a twointegrators loop (Fig. 5.2), e.g. [HBKZ84]. Its oscillation frequency is determined by the characteristic equation

= 1

g =0 s2

g.

(5.1.4)

x(t)
g x(t)

1 s

1 s

(a)

(b)

Figure 5.2.: Oscillator based on analog integrators (gain control not shown): (a) principle and (b) signal-ow graph

In general, implementations of digital oscillators made from these resonators (or any other) will fail. This can be traced back to the inevitable signal quantization after multiplication, creating pseudo-random errors that can create distortions, amplitude uctuations or even quench the oscillation. A solution to get around this problem is shown in Sec. 5.2.

Christian Mnker

March 10, 2010

5.2. Digital Resonator with Low-Pass -Modulation

107

5.2. Digital Resonator with Low-Pass -Modulation


5.2.1. Principle
In principle, a compact test-tone generator with programmable resonance frequency can be constructed from the ideal digital undamped resonator (Fig. 5.3) described in Sec. 2.7.2.
LDI a z 1 z 1 xb [n] LDI b z 1 b xa [n]

Figure 5.3.: Principle of LDI based oscillator

Analytical expressions for output signal frequency sig , amplitude xa , xb and initial phase a , b depending on sampling frequency fs , coefcients a, b and the initial conditions xa (0), xb (0) have been derived in [LRJ94]:

sig = fs arccos 1 a = arctan

ab 2

for

0 < ab 2

(5.2.1)

sin (sig Ts ) xa (0) (1 ab cos (sig Ts )) xa (0) + axb (0) sin (sig Ts ) xa (0) = arctan abxa (0)/2 + axb (0) (1 ab) xa (0) + axb (0) sin (sig Ts + a )

(5.2.2) (5.2.3)

xa =

Results for b and xb are attained by exchanging xa with xb and a with b. For small coefcients |ab| 1, the following approximations hold true ab 1 cos ab 2 and arccos 1 ab 2 |ab|,

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

108

5. Test Tone Generation

yielding the following simplied relations by setting xb (0) = 0 [Mn05]: ab fs

sig

(5.2.4) (5.2.5) (5.2.6)

a = arctan

2 sin (sig Ts ) /2, b = 0 ab b xa (0) xa (0), xb xa (0) xa sin a a

(5.2.4) - (5.2.6) show that frequency and amplitude of the test tones can be set independently. The amplitude is controlled via the initial conditions of the state variables. Practical implementations battle with with nite accuracy, making it difcult to maintain a stable oscillation. This is true even for a resonator topology like the LDI based resonator where the poles remain on the unit circle when the ideal coefcient values are quantized. A solution is shown in the next section.

5.2.2. -Attenuator
As multiplication increases the word length, the product has to undergo signal requantization before it can be fed back into the loop again. This process creates or destroys energy, depending on the kind of quantization applied (truncation, rounding etc.) and the sign of the signal, preventing stable oscillation for all resonators. [LRJ94] describes a stable digital oscillator based on Fig. 5.3 where one multiplier is replaced by a xed bit shifter, providing multiplication by 2 without hardware. The second multiplier is substituted by a -attenuator that rst converts the parallel data x[n] into an equivalent oversampled single-bit stream xd[n] (Fig. 5.4(a)). Multiplication of xd[n] with the coefcient b now only requires selection of +b or b in a multiplexer, depending on the sign of xd[n] (Fig. 5.4(b)). [LRJ94] and subsequent publications focus on the facts that this -attenuator saves chip area and delivers an oversampled M-bitstream from which various analog and digital output signals can be derived. While this is certainly true, the main benet of the -attenuator is that it avoids truncation or rounding, enabling stable oscillation in the rst place: It processes the result of the multiplication by a (= bit shifter) with full word length, its output has the word length of coefcient b. The sampling rates at both input and output of the M are the

Christian Mnker

March 10, 2010

5.2. Digital Resonator with Low-Pass -Modulation


b
M x[n]

109

M+N b x[n]

M x N Bit Multiplier M x 1 Bit Multiplier


x[n] M xd[n] b x[n]

SDM
N
fs/2

LPF
b
(a)

fs/2

N x 1 Bit Multiplier x[n] SDM xd[n] N b xd[n] x[n] SDM b 0 LPF +b 1 N N Bit 2:1 Multiplexor
(b)

b x[n] LPF

b x[n]

Figure 5.4.: M attenuator, principle (a) and MUX implementation (b)

same, there is no decimation involved. The two integrators in the loop limit the signal bandwidth and attenuate the quantization noise. Proving the stability of the oscillator described above is not trivial: The signal transfer function of the M has to have exactly unity gain and a latency of one clock sample, otherwise the oscillation condition is violated, leading to saturation or quenching of the oscillation. Many publications describing this kind of oscillator only rely on empirical observations; [Zie96] proves rigorously that a stable oscillation can be achieved with an oscillator building upon a 2nd order M. For this work, additional VHDL simulations have been performed to verify the

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

110
xa [n] a=2

5. Test Tone Generation


xda [n]

digital

LDI a z 1 z 1 LDI b

SDM

MUX

0 1

+b b

xb [n]

x[n]

z1

z1

+1 1

xd[n]

Quantizer

Figure 5.5.: LDI oscillator using M attenuator

amplitude stability over a period of several 100 ms.

5.2.3. Multi-Tone Signal Generation


Multi-tone signals can be generated by adding the signals of several sine generators, increasing the hardware complexity in a linear way with the number of tones. A more economic approach is using time division multiplexing for sharing the oscillator hardware. The price for generating L tones is a reduction of the effective sampling rate to fS,e f f = fS /L; each register has to be replaced by a chain of L registers to store the independent state variables for each time slot (= phase). During the L different phases, the multiplexer selects the corresponding pair of coefcients bi , bi to implement the L different multiplication factors. At the outputs xb [n] and xda [n], the L different tones are contained in the L phases of the signal. Subsequent low-pass ltering removes the frequency component around fS /L and leaves the sum of the baseband component of all tones. This modication requires only four additional registers per tone (Fig. 5.6) [LRJ94] in the M and the oscillator itself. The adders and the bit shifter are shared among the signals saving approx. 50% chip area compared to individual tone generation. The comparison of Fig. 5.7 and Fig. 5.8 conrms that the inband spectra ( f < 100 kHz) of parallel output and SDM bit stream are essentially the same. Erroneously, both spectra have been normalized with the FSR of the parallel output,

Christian Mnker

March 10, 2010

5.2. Digital Resonator with Low-Pass -Modulation

111

xa [n]

digital

xda [n]

SDM z 1 z 1 z 1 z 1 MUX a=2 f s /2


00 01 10 11

+b 1 b1 +b 2 b2
+1 1

x[n]

xd[n]

z
1

z
1

z1

z1

Quantizer

2k

Figure 5.6.: Two-tone LDI oscillator using M attenuator

0 a =2
1 4

10

b = 0.012634 => f = 55.9 kHz

> 60 dB SFDR (Inband)

20

Sstim (dB / Hz, Spurs)

b = 0.12201 => f = 173.7 kHz


2 2

30

40

50

60

70

80

90

100 4 10

10

Frequency (Hz)

10

Figure 5.7.: Spectrum of two-tone signal (parallel output) ( fS = 26 MHz)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

112
50 a =2
1 4

5. Test Tone Generation

60

b = 0.012634 => f = 55.9 kHz 70


1 1

b = 0.12201 => f = 173.7 kHz

Sstim (dB / Hz, Spurs)

90

> 60 dB SFDR (Inband)

80

100

40dB/dec

110

120

130

140

150 4 10

10

Frequency (Hz)

10

10

Figure 5.8.: Spectrum of two-tone signal (SDM bit stream) ( fS = 26 MHz)

which explains the difference in displayed output levels. The spurious lines are harmonic and intermodulation distortions created by quantization.

5.2.4. Quantization Noise


The quantization noise of the M limits the useful signal bandwidth B of the oversampled oscillator. The achievable signal-to-noise ratio SNR depending on the effective oversampling ratio OSRe f f = fS,e f f /2B is [LRJ94] 2 5/2 SNR = OSRe f f . 60

(5.2.7)

The effective sampling rate of a two-tone generator (L = 2) running with a sampling frequency of fS = 26 MHz is fS,e f f = 13 MHz. The PLL under test has a nominal loop bandwidth of 100 kHz, resulting SNR for different bandwidth values is given in Tab. 5.1.

Christian Mnker

March 10, 2010

5.3. Upconversion in PLL

113

BW [kHz] OSRe f f SNR [dB]

50 130 108

100 65 93

150 43.3 84

200 32.5 78

300 21.7 69

400 16.3 63

Table 5.1.: SNR of two-tone generator depending on bandwidth BW

5.3. Upconversion in PLL


In the MADBIST concept, the digital multi-tone signal had to be converted and low-pass ltered to obtain an analog test signal for the ADC. This auxiliary test DAC1 needs to have a performance that is superior to the ADC under test, requiring precision analog techniques. This is true even for oversampled single-bit -DACs, where nite slew-rate, mismatch of rising and falling edge or ringing deteriorate the analog signal [TR96]. In contrast, the digital modulation input of the PLL offers a very efcient way to apply a digital phase / frequency correction [MMNV04] or test tones to the PLL (Fig. 5.9): No additional lter is needed to reconstruct the sine tones from the oversampled data stream of the sine generator due to the low-pass characteristic of the PLL. The output frequency fout of a fractional-N PLL with a reference frequency fre f and a division ratio N = NI + NF , consisting of integer part NI and fractional part NF = FRAC/2w f , is given by fout = fre f NI + FRAC 2w f = N fre f (5.3.1)

where w f is the word length of the fractional accumulator and FRAC is the fractional word. The PLL is frequency-modulated in the digital domain by adding modulation data D[n] to the fractional word. The modulation data is low-pass ltered by the closed loop transfer function T ( ) of the PLL [GKM+ 03]. Within the loop bandwidth, |G(s)| 1 and the digital data directly affects the PLL frequency: fout (n) fre f
1 Not

NI +

FRAC + D[n] 2w f

= fre f

N+

D[n] 2w f

(5.3.2)

to be confused with functional DACs on-chip which can be tested against the veried ADC.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

114

5. Test Tone Generation

PFD
f

RF out

Reference Frequency

Loop Filter

VCO N / N+1

1 0

Data

dig
f

[SDM]
0 1 TE Carrier Freq. Word
digital

TX Filter

SDM

[SDM]
Dig. Multitone Generator

Additional BIST Block

Figure 5.9.: Fractional-N modulator with test tone generation

When D[n] is a digital sine wave with frequency fm and amplitude m, a (normal ized) peak PLL frequency deviation f resp. y is created of f = m m fre f = w f fre f 2w f 1 2 2 f f0

and

y=

(5.3.3)

for m < 2w f 1 . This corresponds to a frequency modulation index f of

f =

f0 m fre f f =y = wf . fm fm 2 fm

(5.3.4)

Fig. 5.10 shows the simulated phase spectrum of a PLL, modulated by a twotone signal ( fm1 = 51 kHz and fm2 = 130 kHz). The phase deviation due to a constant frequency drops with 20 dB/dec. Tones outside the loop bandwidth of 100 kHz are attenuated additionally by the loop bandwidth. The tone outside the loop bandwidth appears attenuated by S 12 dB. The conversion Sy S accounts for 8 dB, the other 4 dB are due to the loop attenuation which is the parameter of interest. Obviously, an FM discriminator that delivers Sy directly would be a better choice for measuring the loop bandwidth. A single-tone modulation of the RF carrier at f0 = 3.812 GHz with fm = 67.7 kHz, w f = 23, m = 45800 and fre f = 26 MHz produces a peak (normalized) frequency deviation of f = 142 kHz resp. y = 3.72 105 85.6 dB. This

Christian Mnker

March 10, 2010

5.3. Upconversion in PLL

115

20 10 0 10

20 log |S |

20 30 40 50 60 70 80 4 10 Samples: 20000499 Avg. Freq. = 4.0001e+09Hz Max: 23.7 dB at 50799.9 Hz RBW = 600.0 Hz (27.8 dB Hz) 10
5 6

10

Offset Frequency from Carrier (Hz)

Figure 5.10.: Simulated phase spectrum of two-tone modulated PLL

corresponds to an FM index f = 2.1 = that is identical to the peak phase deviation, giving S ( fm ) = 2 /2 = 2.2 +3.4 dB. The small angle approximation is no longer valid for such a large phase deviation; relative carrier and sideband amplitudes in the amplitude spectrum have to be calculated via (2.2.13):

a2 = J2 (2.1) = 0.3746 8.5 dB

a0 = J0 (2.1) = 0.1666 15.6 dB a1 = J1 (2.1) = 0.5683 4.9 dB

Most conventional spectrum analyzers cannot demodulate FM signals, displaying the amplitude spectrum S( f ) as in Fig. 5.11 from which information about the modulation signal can only be extracted with difculty. As the PLL output is not routed to a pin, the RF signal had to be tapped off by inductive coupling with a "sniffer" coil to the VCO coil inside the chip which accounts for the attenuation of 30 dB. In contrast, the built-in FM discriminator gives the frequency deviation spectrum in Fig. 7.13 resp. Fig. 7.14 for a two-tone spectrum.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

116
* RBW 5 kHz

5. Test Tone Generation


Marker 1 [T1 ] 76.26 dBm 3.812195513 GHz

Ref 20 dBm
20

* Att

10 dB

VBW 20 kHz SWT 40 ms

30 1 AP CLRWR

40

50

60

70

1
80

90

100

110

120

Center

3.8122787 GHz 13:59:55

100 kHz/

Span 1 MHz

Da t e: 16.MAR.2007

Figure 5.11.: Single-tone modulation (measured with spectrum analyzer)

All simulations in this chapter were performed with a standard VHDL simulator, using the methodology described in Sec. 4.5.

Christian Mnker

March 10, 2010

Wichtig ist, was hinten raus kommt.

H. Kohl

On-Chip PLL Response Analysis


On-chip response analysis in the frequency domain is developed as the second part of a SP-BIST. After an overview of conventional, swept-tuned spectrum analysis techniques, a robust sigma-delta frequency discriminator (FD) is presented as an alternative technique for demodulation and digitization. The -modulated bit stream of the FD is decimated and ltered with a digital narrowband lter, based on digital resonators. It is very robust against quantization and coefcient truncation errors and requires only one parameter to tune the center frequency. The amplitude of the ltered frequency band is estimated with a digital envelope detector.

6.1. Spectrum Analysis Overview


6.1.1. Direct Spectrum Analysis
As shown in Sec. 2.3.2, phase noise can be measured by selecting a single sideband, converting phase to voltage that is measured in the amplitude domain. In practical implementations, the ltering is performed at an intermediate frequency to relax the lter requirements. In the lab, this direct spectrum analysis is often

118

6. On-Chip PLL Response Analysis

performed with a spectrum analyzer. Fig. 6.1 shows a typical analog implementation of a swept-tuned, superheterodyne spectrum analyzer [Agi06] (simplied representation). The RF input frequency range of interest is mixed down to a xed intermediate frequency (IF) in several stages by sweeping the rst local oscillator frequency.

3 GHz

IF = 3.6214 GHz

IF = 321.4 MHz

IF = 21.4 MHz Log. Amp.

RF in

Swept LO
3.62 GHz ... 6.52 GHz IF = 3 MHz BW = RBW

LO2
3.3 GHz

LO3
300 MHz

LO4
18.4 MHz

Video Filter Display

Sweep Generator

Figure 6.1.: Principle of analog swept spectrum analyzer [Lil05]

A band-pass lter with xed center frequency and selectable bandwidth lters out the frequency of interest, the frequency axis of the display is swept synchronously with the rst LO to plot amplitude values at the corresponding frequency points (Fig. 6.2). The narrowband output signal of the band-pass is then demodulated by an envelope detector. With the advent of fast, high resolution ADCs in the 1970s, digital signal processing (DSP) started to replace more and more analog signal conditioning in spectrum analyzers, enabling faster sweep times and higher dynamics.

Envelope and Signal Detection

Analog swept-spectrum analyzers demodulate the intermediate frequency signal for the video display by envelope detection. In its simplest analog form, this is achieved with a resistively loaded diode and a low-pass lter (Fig. 6.3) whose output only follows the average of the signal envelope but not its instantaneous value.

Christian Mnker

March 10, 2010

Envelope Detector

6.1. Spectrum Analysis Overview

119

|S | (dB / Hz)

f IF

Frequency (Hz)

Figure 6.2.: Swept-tuned spectrum analysis: The input signal is converted with a variable LO frequency and analyzed with a xed band-pass

t t IF Signal Demodulated Signal

Figure 6.3.: Envelope detector

Video Filtering

The video lter in Fig. 6.1 smooths the (logarithmic) PSD data for the display when the video bandwidth is smaller than the resolution bandwidth. A very similar effect can be achieved by averaging several measurements (trace averaging) which is preferable for a BIST application as it can be performed off-chip in software. The advantages and disadvantages of a conventional spectrum analyzer for phase noise measurements are: + Available in most labs - AM and PM cannot be distinguished

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

120

6. On-Chip PLL Response Analysis


- Resolution limited close to the carrier due to drift between device and spectrum analyzer frequency - LO phase noise limits sensitivity

6.1.2. Indirect Measurement of Angle Modulation


High resolution phase noise measurements are often performed indirectly by demodulating the signal. This can be performed by a phase detector that converts phase uctuations to amplitude uctuations. Hence, the PSD of the phase detector output voltage is proportional to the phase instability. The most common implementation is a mixer operating in quadrature (both inputs at same frequency but phase shifted by = /2) (Fig. 6.4). The second input can be a copy of the signal to be measured (self-referenced measurement) or be provided by a PLL to maintain quadrature. v(t) sin( (t)) (t) for (t) < 0.1 rad + Highest dynamic range - Tunable resolution lter required - Delay difference between both paths limits the - Sensitive against frequency difference between both paths (drift) /4 s(t)
LPF

(6.1.1)

K (t)

Figure 6.4.: Phase detector phase noise measurement

Introducing a delay T in one path of Fig. 6.5 creates a linear phase shift with offset frequency fm of 2 fm T . Hence, frequency uctuations are converted to phase uctuations that can be measured with a phase detector as before. + More robust against frequency difference between both path - Low sensitivity at low offset frequencies fm

Christian Mnker

March 10, 2010

6.2. FM Demodulation Using Frequency Discriminator


T PSfrag s(t)
LPF

121

K f f (t)

Figure 6.5.: Frequency discriminator based phase noise measurement

- Resolution lter needs to be tunable The latter architecture has been used for on-chip phase noise measurement [VGKB+ 07, KBK07] with excellent results, however, for this work the chip area for the analog components is far too large. An architecture that avoids the tunable delay line and the high-performance analog mixer is described in Sec. 6.2.

6.2. FM Demodulation Using Frequency Discriminator


6.2.1. Overview of FM Demodulation
Demodulating and digitizing of the frequency information has to be performed under the same restrictions as outlined in the motivation: Little area overhead Reusability for new products and technologies Robustness, i.e. mainly digital implementation Autonomous operation, i.e. requiring no functions from other blocks The spectrum analyzer architectures described in Sec. 6.1 are "very analog" and complex, requiring large area implementations [VGKB+ 07, KBK07]. Therefore, a different approach is needed for extracting and digitizing the phase / frequency modulation information from the PLL signal without analog downconversion. On the other hand, the output of a PLL used for frequency multiplication is a narrowband RF signal with a constant, nearly rail-to-rail amplitude. Such a signal can be processed with digital circuits, requiring no precision analog components.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

122
Subsampling

6. On-Chip PLL Response Analysis

Subsampling or downsampling (Sec. 2.5.4) is a well known technique in digital multirate systems or high-speed ADCs for processing a high-frequency, narrowbandwidth signal in a lower Nyquist zone (Sec. 2.5.4). As RF signals in most transmission standards are narrowband signals, subsampling recently has received a lot of attention for RF downconversion. No analog mixer is required and the concept is seemingly digital, however, practical implementations of "digital" subsampling receivers battle with mainly analog problems:
Aliasing: The frequency bands of all Nyquist zones are mapped onto the base-

band which can create huge aliasing problems and SNR reduction when operating in a high order Nyquist zone i.e. with a large ratio between bandwidth and sampling frequency.
Bandwidth: The bandwidth of the sampler needs to exceed the highest signal

frequency independently of the sampling frequency.


Jitter: The sampling clock needs to be exceedingly stable as jitter introduced at

that point of the signal chain is referred to the RF period, not the sampling period. Consequently, the subsampling receiver presented in [MLS+ 04] operating directly in the RF domain is a complex analog circuit, consisting of a cascade of lters, subsamplers and a precision ADC which is unsuitable for a BIST implementation.

PLL FM Discriminator

PLLs are frequently used for FM demodulation by tapping off the control voltage of the VCO but obviously it makes no sense to implement a second PLL on-chip for testing purposes with a performance superior to the circuit-under-test.

Digital FM Discriminator

Digital FM demodulation of high-level signals is possible by only evaluating the position of the zero crossings. This can be performed by some sort of earlylate detection against a reference signal. In this work, a sigma-delta frequency discriminator (FD), a fully digital circuit, is used for FM demodulation.

Christian Mnker

March 10, 2010

6.2. FM Demodulation Using Frequency Discriminator

123

The period deviations could also be measured directly with a time-to-digital converter (TDC) (Sec. 1.2.7). However, TDCs are large precision analog blocks that are ill suited for BIST purposes. In a digital PLL where a TDC is used for phase detection, the availability of a digital measure for the phase error offers new self-test options with very little overhead [EBSB07, SP09].

s[n]

Accumulator z1 Integration

Q
+1 1

sd[n]

Subtraction

Q
Quantization

(a) First order sigma-delta modulator (M)

f i (t)
Channel Word (Integer Part)

Dual Modulus Divider

Q
ref div

DFF
D

y[n]

N / N+1
N mod

Integration and Subtraction

Phase Quantization

(b) First order sigma-delta frequency discriminator (FD)

Figure 6.6.: Comparison of M and FD

6.2.2. Principle of First Order FD


In analogy to the conventional M in Fig. 6.6(a) (Sec. 2.6), a sigma-delta frequency discriminator (FD, Fig. 6.6(b)) generates a coarsely quantized, noise shaped, oversampled approximation to the instantaneous input frequency fi (t). It is capable of replacing both the demodulator and the ADC, making it an ideal candidate for robust BIST applications. The principle of FD is closely related to a fractional-N synthesizer [BC94] where a ne granularity of output frequencies is achieved by alternating the division ratio between two or more values. When the divider values are switched sufciently fast, most of the switching activity is suppressed by the loop lter and the output frequency is proportional to the average division ratio N. This works

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

124

6. On-Chip PLL Response Analysis

particularly well when the divider sequence is coded as a M data stream where the switching activity is concentrated at high frequencies (Sec. 2.6).

N / N+1
N mod

f div f ref

DFF
D
f

RF out VCO

N.F Frequency Word Mod in SDM

PFD

Filter

(a)
RF in N / N+1 f div
N mod

DFF
D
f

f ref N N.F Frequency Word Filter

Dem. Out

(b)

Figure 6.7.: Principle of FD (b), derived from -Frac-N-PLL (a)

If the VCO is replaced by an input signal with a frequency fi,0 (t) in the range N fre f < fi,0 (t) < (N + 1) fre f , (6.2.1)

a divider sequence can be constructed that minimizes the phase deviation between reference signal and divided input signal and thus produces an average divided frequency that is equal to the reference frequency, fi,0 (t)/N = fdiv [i] = fre f and

i,div (t) = div (t) + 2 fre f

(6.2.2)

When the divided input frequency is higher than the reference frequency, the division ratio has to be set to (N + 1) until the reference phase overtakes the divided RF phase. At that point, the division ratio is set back to N until the divided RF phase leads again (Fig. 6.8). In other words: the phase of the divider output brackets the reference phase (Fig. 6.9) rather than locking to it. A suitable divider sequence is provided by a D-Flip-Flop (D-FF) which samples the divided RF phase at each rising edge of the reference clock (Fig. 6.6(b)), providing a binary quantization y[i] of the divider phase deviation div = i,div i,re f (bang-bang or early-late phase detector). Starting with perfectly aligned phases at t = 0 and a division ratio of N, the

Christian Mnker

March 10, 2010

6.2. FM Demodulation Using Frequency Discriminator


divider phase deviation after one reference period is

125

div (Tre f ) = i,div (Tre f ) i,re f (Tre f ) =

i,0 (Tre f ) 2 N N i,re f (Tre f ) N N 2 = 2 = 2 < . N N N

(6.2.3)

A similar result is obtained easily for a division ratio of N + 1, dening the range of the phase deviation at the divider output: 2 2 < div (t) < N +1 N (6.2.4)

For input frequencies outside the range given above, the phase deviation becomes larger than 2/N and cycles are lost, overloading the FD. A PLL signal generated from the same reference frequency fre f has a bandwidth B fre f for stability reasons, fullling (6.2.1) in most cases. The notable exception is when the average input frequency f0 is near one of the integer frequencies and additional frequency modulation pushes the instantaneous frequencies outside the range.
N = 4.25
N=4 RF
t div,1 t div,k T div,2

N+1=5

N=4

N=4

N=4

N+1=5

DIV
T div,1

REF

t k1

tk

div [i]
y[i] 0 1 2 t/Tref k

Figure 6.8.: Signals in rst order FD: Transient view

Modulation frequency has to be less than fre f /2 to avoid aliasing. As the multimodulus divider averages over N resp. N + 1 input cycles, it acts as an antialiasing lter on the frequency deviation. The equivalence to conventional M is seen clearly by regarding For each reference cycle where the division ratio is set to (N + 1) instead of N, one RF cycle is swallowed from the output of the divider, subtracting a phase of 2/N.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

126
i / 2
4 i,0 / N

6. On-Chip PLL Response Analysis

i,div [i] = i,0 / N[i] i,ref

i,0 / (N+1) 2 div [i] = i,ref i,div [i]

1 N+1 1 N 0

2 N+1 1

3 N 0

t / Tref
N+1 1

Binary phase error approximation y[i]

Figure 6.9.: Signals in rst order FD: Phase view

As phase is the integral of frequency, this corresponds to a perfect integration of the frequency error.

6.2.3. Signal-to-Noise Ratio of FD


In this section, a linearized model for the FD is developed to calculate the signal-to-quantization noise ratio (SQNR) [Bax99, p. 39 ff.,p. 107 ff., 146 ff.], [BC94]. It will also be shown that the output signal of the FD is a coarsely quantized approximation to the relative frequency deviation y(t) which has been dened in (2.2.4) as y(t) := fi (t) f (t) 1 d (t) fi (t) f0 = 1 = = . f0 f0 f0 2 f0 dt

The average deviation t0 [i] from the ideal period T0 is a good approximation to the frequency deviation y[i] y(t) during that cycle: y(t) = fi (t) f0 [i] T0 T0 1 1 y[i] = 1 = 1 = f0 f0 T0 [i] T0 + t0 [i] = 1 t0 [i] 1 T0 1 + t0 [i]/T0 (6.2.5)

Christian Mnker

March 10, 2010

6.2. FM Demodulation Using Frequency Discriminator


In the derivation of (6.2.5), two approximations have been made:

127

The duration of the i-th divider cycle Tdiv [i] at the output of the MMD is equal to the accumulated RF signal periods T0, j of the previous N[i] RF cycles (approx. Tre f ). Consequently, only the average period T0 [i] of these accumulated cycles can be measured instead of fi,0 (t) or T0, j . This is equivalent to a low-pass ltering of the period / frequency deviation with sinc f Tre f . Tdiv [i] j=1 T0, j T0 [i] = = = T0 + t0 [i] with N[i] N[i]
N[i]

T0 =

Tre f N

(6.2.6)

Truncating the series expansion 1/(1 + x) = 1 x + x2 . . . after the rst 2 term introduces a nonlinearity x2 = t0 [i]/T0 . For narrowband modulation, x 1, and the nonlinearity can be neglected. First, a linearized model is developed for the multi-modulus divider as the central component: The m-th rising edge of the divider output is triggered by the k-th rising edge of the RF signal at the time tdiv [m]. The modulus input b[i] {0; 1} and the integer division ratio N set the division ratio N[i] = N + b[i] of the i-th divider cycle: tdiv [m] = Tdiv [i] =
i=1 m

j=1

T0, j = T0, j = N[i]T0 [i]


i=1 j=1 i=1

m N[i]

N(z)T0 (z) N(z) tdiv (z) = = T0 + t0 (z) 1 1z 1 z1 (6.2.7) is the base for the MMD model in (6.10(b)).
T0,j N / N+1 t div [m]
N mod

(6.2.7)

T (z) = T0 + t0(z) 0 N b(z)


(b)

N + b[i]
(a)

N(z)

1 1z 1

tdiv (z)

Figure 6.10.: Multi-modulus divider (a) and DT model (b)

As it has been assumed that the average period of the divided signal Tdiv [i] is made equal to the reference period Tre f in a feedback loop and as N N[i] < 1, the

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

128

6. On-Chip PLL Response Analysis

difference between the average division ratio N (m ) and its approximation over m divider cycles is bounded by | [m]| < 1/m: NT0 = Tdiv [i] = Tre f with N = lim 1 m 1 m N[i] = m N[i] + [m] (6.2.8) m m i=1 i=1

The instantaneous output phase of the divider i,div [m], referred to the reference period, is calculated from (6.2.7) and (6.2.8): 2 m t0 [i] 2tdiv [m] 2 m = N[i] T0 + t0 [i] = N N[i] 1 + T0 Tre f NT0 i=1 i=1 2 m 2m| [m]| 2 t0 [i] N[i] T0 with | [m]| = N < N N i=1 (6.2.9) (6.2.10)

i,div [m] =

= 2m + [m] + i,div (z) =

2 N(z) t0 (z) 1+ 1 T0 N 1z 2 t0 (z) N + N(z) T0 N(1 z1 )

(6.2.10) is the base for the multi-modulus divider (MMD) model in Fig. 6.11.

T0 + t0(z) N b(z)

1 / T0 1 1z 1 2 N
i,div

N(z)

(z)

Figure 6.11.: Linearized model for multi-modulus divider phase

Next, the D-FF with the reference frequency input is added to the model to complete the FD. The instantaneous phase of the reference frequency after m reference cycles is i,re f [m] = 2m. The output of the D-FF is a coarse quantization of the difference between instantaneous reference and divider phase with Q = 2/N: When the divided phase is early, the output goes high, otherwise it is low. Using (6.2.2), the difference of both instantaneous phases is the divider

Christian Mnker

March 10, 2010

6.2. FM Demodulation Using Frequency Discriminator


phase deviation div [m]:

129

div [m] = i,div [m] i,re f [m] = div (z) = i,div (z) i,re f (z) =
= 2 1 N 1 z1 N(z) 2 = N 1 z1

t0 [m] 2 m N[i] T0 N i=1

(6.2.11)

2 N(z) t0 (z) 2 1+ 1 T0 1 z1 N 1z t0 (z) N(z) 1 + N T0 1+ t0 (z) 2 T0 1 z1 (6.2.12)

The effect of the quantizer is modeled by adding the quantization noise en = Q / 12 = /N 3 and one delay, yielding b(z). The loop is closed by setting N(z) = N + b(z): b(z) = (div (z) + en ) z1 = N + b(z) 2z1 1 z1 N 1+ t0 (z) 2z1 + en z1 T0 1 z1

N 2z1 () 2z1 () 2z1 + en z1 = 1 1 z1 N 1 z1 N 1 z1 N 1 z1 1 z1 2z1 N () 1 + en = 2 N (1 z1 ) 2 () z1 1 z1 N = 1 1 z1 2z1 () N 1 z1 N () 1 + en 2 N

N + N ()1 N ()1 en

1 z1 for z1 1 2 t0 (z) t0 (z) 1 z1 N N/N + 1 for en 1 T0 2 T0 N y(z) + 1 z1 N N en 2 N (6.2.13)

(6.2.13) shows that the output b[n] of the FD is an approximation to the frequency deviation y(t), scaled with N. The DC-component of y(t) is the fractional word, i.e. the relative deviation from the integer channel. Quantization noise is high-pass shaped with 1 z1 . (6.2.12) and (6.2.13) are the base for Fig. 6.12. The high-pass characteristic of 20 dB/dec can be seen in Fig. 6.13.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

130

6. On-Chip PLL Response Analysis

T0 + t0 (z)

1 / T0

ref

1 / Tref 1 N

1 2 z 1 1z 1

en b(z)

Figure 6.12.: 1st order FD model

The PSD SY of a signal with sinusoidal frequency modulation and peak frequency deviation y is y2 Sy ( fm ) = = 2 f 2 f0
2

At the output of the rst order FD, frequency deviation is scaled with N, resulting in a signal power of Sy,FD ( fm ) = N
2

f 2 f0

(6.2.14)

The noise power of a general rst order -modulator is given by (2.6.6): 2 e2 n Nq (Bm fS /2) 3 2Bm fS
3

Q 2Bm 6 fS

3/2

2 For the case of the rst order FD, e2 = e = 2 /12 = 2 /3N , noise is scaled n Q with (N/2)2 , resulting in

Nq,FD (Bm fS /2)

2 3

2Bm fS

2 3N
2

N = 42

2Bm 6 fS

3/2

(6.2.15) The ratio between modulation bandwidth Bm and sampling frequency fS , the oversampling ratio OSR, determines the SQNR of the FD. In analogy to a conventional M (2.6.6), the SQNR of a rst order FD can be calculated from (6.2.14) and (6.2.15): SQNR = 20 log N f 2 f0
S

20 log

2Bm 6 fS
NQ

3/2

(6.2.16)

= 48.3 dB (69.1 dB) = 20.8 dB

(6.2.17)

Christian Mnker

March 10, 2010

6.2. FM Demodulation Using Frequency Discriminator

131

using the numbers from the example in Sec. 5.3: f0 = 3.812 GHz and fS = fre f = 26 MHz result in N = 146.62. The peak (normalized) frequency deviation is f = 142 kHz resp. y = 3.72 105 85.6 dB. A bandwidth of Bm = 100 kHz has been assumed. This low SQNR is partially due to the relatively low frequency deviation f in comparison to the DC offset (N N/N). Hence, a precise measurement of the frequency deviation of single tones necessitates a narrow bandwidth.
40 Samples: 145600 RBW = 1071.4 Hz (30.3 dB) 60

20 log |S y |

80

100

120 3 10

10

10

10

10

Frequency (Hz)

Figure 6.13.: Simulated two-tone spectrum at FD output

40

60 Samples: 145600

20 log |S y |

Freq. Points: 18201 RBW = 1071.4 Hz (30.3 dB)

80

100

120 4 10

Frequency (Hz)

10

Figure 6.14.: Simulated two-tone spectrum at FD output (zoomed in)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

132

6. On-Chip PLL Response Analysis

The zoomed-in spectrum in Fig. 6.14 reveals some spurious lines between the two modulated tones, generated by the rst order FD.
Spurious Tones of First Order FD

As there is only one integrator in the loop (Fig. 6.12), the rst order FD shows the same spurious tones as a rst order M (Sec. 2.6.3). These tones are due to insufcient decorrelation of signal and quantization noise. In FDs, especially unmodulated signals (constant input frequency) can produce strong spurious lines. Modulation with e.g. a two-tone signal improves the decorrelation and hence the spurious performance.

6.2.4. Second Order FD


Increasing the order of noise shaping reduces the spurious tones and improves the signal-to-noise ratio by shifting more quantization noise to higher frequencies. Unfortunately, the order of a FD cannot be increased as simply as with a PLLas the quantization error of the rst stage is given by the phase difference between reference and divided RF signal that is smaller than an average RF cycle. It can either be integrated in an analog fashion with e.g. a charge pump or digitally by oversampling the rst.

Figure 6.15.: 2nd order FD (simplied) [BCR96]

[BC94] presents a second order multi-loop FD architecture similar to Fig. 2.20 using two charge pumps and an analog comparator. Mismatch between charge pumps in the multi-loop architecture can be avoided by an equivalent second order single-loop FD (Fig. 6.15) similar to Fig. 2.22 [Bax99]. However, both approaches are not attractive for BIST implementations as they require large area analog blocks.

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal

133

The use of a multibit quantizer would also improve the SQNR. For this approach, the simple D-FF has to be replaced by multi-phase phase detector [RS01]. This requires multiple phases of the reference clock which is the main drawback of this approach. The multibit "DAC" could be implemented with a multi-modulus divider.

6.3. Spectral Analysis of Baseband Signal


6.3.1. Overview
In the last section, it has been shown that the output of the FD is an oversampled, -modulated approximation to the frequency deviation of the RF carrier. In contrast to a swept spectrum analyzer (Fig. 6.1 and Fig. 6.2), the demodulated spectrum is xed, starting at DC. As a consequence, the resolution lter now has to be swept across the baseband (swept-lter spectrum analyzer, Fig. 6.16). One practical difculty that has to be solved is that the bandwidth of the lter has to remain constant over the tuning range. [TR95a] discusses the quality of three different methods for achieving this target:

|S | (dB / Hz)

Frequency (Hz)

Figure 6.16.: Swept lter spectrum analysis: A tunable lter is swept across the baseband spectrum

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

134
Fast Fourier Transform (FFT)

6. On-Chip PLL Response Analysis

While FFT has become the most common method for on-chip spectral analysis, it also requires the most hardware resources by far. More hardware efcient for the calculation of individual spectral components of a discrete-Fourier transform (DFT) is the recursive Goertzel algorithm. It can be implemented and analyzed as a direct-form IIR lter [TGS+ 09]. The high sensitivity of this lter type to quantization effects requires multipliers with a large word length.

Correlation

The spectral component at a certain frequency in a measured set of data can be found by correlation with a sine wave of the target frequency. This procedure can also be related to the discrete Fourier transform and has been standardized as IEEE Std 1057. The quality of the spectral estimate is identical to a DFT. Unfortunately, the computational effort is also very high.

Narrowband Filtering

Using narrowband ltering, a similar quality of the spectral estimate can be achieved with low hardware complexity. As this is one of the main restrictions in this work, the spectrum will be estimated with the narrowband ltering approach. This approach is especially well suited when only the analysis of a few frequency points is required as in the SP-BIST application.

6.3.2. Filter Topology


The output of the FD is fed into the tunable narrowband lter, its center frequency is selected by the ATE or an external PC (Fig. 6.17). A digital envelope detector (Sec. 6.3.5) tracks the amplitude of the frequency band. Slow but computation intensive tasks like linearization, smoothing and logarithmic scaling are performed off-chip. Component variations and area limitations mandate the use of digital lters. Many different architectures for DT lters have been developed in the last decades, rst for switched-capacitor (SC) implementations (continuous-valued), later for fully digital implementations (discrete-valued) to implement a desired transfer function H(z). Under the assumption of innite precision (or at least

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal


Multirate BP Filter Envelope Detector

135

FM Discriminator
fRF

PC or ATE

N / N+1
N mod

|Sy (fc ) |
f

fref

fc

Sweep

SPBIST
t t

Oversampled demod. signal

BPfiltered demod. signal

Envelope of BP signal

Figure 6.17.: Principle of on-chip spectral PLL analysis

with oating-point arithmetics), these architectures produce identical inputoutput behavior. However, in most hardware implementations, silicon area and computing power are limited, permitting only xed-point arithmetics. This is especially true for BIST applications where minimum area overhead is of paramount importance. With xed-point arithmetics, lter architecture, internal word length and scaling strongly inuence the performance: overow, excessive quantization noise, deviations from the target transfer function or even instability may occur for nonoptimum choices [CT06]. While non-recursive lters are inherently stable and relatively insensitive against word length effects, low hardware complexity can only be achieved with recursive lters: The poles in the transfer function enable sharp transitions between pass and stop bands with a much lower lter order than with non-recursive lters which are restricted to all-zero transfer functions. The non-linear phase transfer function of recursive lters can be ignored for applications where only the magnitude transfer function is specied (as in this work). Still, recursive lters have lost a great deal of their popularity which may be due to the available high integration densities, requiring no longer minimum area solutions and to a lack of IIR design skills as the focus in most courses is on nonrecursive lters [Lyo06]. This is especially true for more exotic topologies like the resonators-in-a-loop described below which have sunk into near-oblivion. The higher sensitivity of recursive lters to word length effects requires robust lter topologies to achieve good performance even with short word-length of

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

136

6. On-Chip PLL Response Analysis

registers (quantization) and coefcients (truncation). This allows reducing the size of the coefcient multipliers which are the largest blocks in a digital lter. Dynamic range is limited by quantization noise on one side and saturation / overow on the other side. It can be shown that a robust lter design automatically gives a good dynamic range [Fet97]. Tunable lters in BIST / BISC applications mandate a minimum number of tunable coefcients as each coefcient has to be programmed during test and stored on chip. Especially for BISC applications, the computational complexity for calculating the coefcients e.g. from the center frequency of a lter has to be low as well. Most tunable lters use non-recursive structures to guarantee stability while tuning the coefcients. These approaches also require high computational effort for coefcient calculation [SK97, ZB95].
Downsampling xi [n] 2 CIC 32 f S = 26 MHz BP Filter Envelope Detector | A(f BP ) | CIC f S,R1 = 812.5 kHz 256 fS,R2 = 3.2 kHz

Figure 6.18.: Block diagram of spectral estimation

Signals with a high oversampling rate (ratio of sampling rate to signal bandwidth) like the sigma-delta modulated bitstream of the FD in this work can be processed efciently with multirate systems. Fig. 6.18 shows the principle of the multirate spectral estimation developed in this work [MW06]. The band of interest is selected with a narrow, programmable resonator based band-pass lter running at a reduced sampling rate. The moving average in the envelope detector is calculated at an even further reduced sampling rate.

6.3.3. Downsampling Cascaded-Integrator-Comb Filters


The FD bit stream has a high oversampling ratio that would require a high order bandpass lter with precise coefcients when operating at the full sampling rate. Filter specications can be relaxed by downsampling (Sec. 2.5.4) before doing actual signal processing. The M coding of the bit stream concentrates the quantization noise around fS /2, hence, proper low-pass ltering is required before decimation to avoid excessive aliasing. Downsampling Cascaded Integrator-Comb (CIC) lters, are frequently used for anti-alias ltering of oversampled M bitstreams before decimation because

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal

137

fs x[n] y[n]

f s /R y[n/R] R

z 1 CIC 1 z R z 1 z R CIC 2

Decimator

Figure 6.19.: 2nd order CIC as anti-aliasing lter

of their hardware efcient, multiplier-less architecture (Fig. 6.19). The coarsely quantized M bitstream is converted to a wider word length at a lower sampling rate. The actual (single rate) CIC lter consists of N sections of a digital integrator and a comb lter with R unit delays and behaves as a moving average lter, implemented in recursive form. The integrator section generates a pole of order N on the unit circle at z = 1 ( f = 0), the comb lter R zeros of order N, distributed along the unit circle at e j2k/R , k = 0 . . . R 1. The lter is only stable because the integrator pole is canceled exactly (requiring xed-point arithmetics) by a zero in the comb lter section. Integrator: HI (z) = 1 Y (z) = X(z) 1 z1 |HI ( f )| = 1 2 sin f TS (6.3.1) (6.3.2)

Comb Filter: HC (z) = 1 zR

|HC ( f )| = |2 sin R f TS |

Combining the transfer functions of integrator (6.3.1) and comb lter (6.3.2) yields the CIC transfer functions HCIC (z) and HCIC ( f ) (6.3.3): HCIC (z) = 1 zR 1 z1
N

and

|HCIC ( f )| =

sin R f TS sin f TS

(6.3.3)

Order N and number of delays R are the only two parameters for controlling the frequency characteristic of a CIC lter. When the number of delays in the comb lter is the same as the decimation ratio (Fig. 6.19), an especially efcient implementation is achieved by swapping decimator and comb lter stages and applying the Noble identity: The resulting structure Fig. 6.20 (also called Hogenauer lter [Hog81]) has the same transfer function as Fig. 6.19 and requires only one delay per comb lter section ([Mey07]). Further reduction of hardware complexity is achieved by replacing one integrator and comb lter by an accumulate-and-dump block (Fig. 6.21). The frequency re-

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

138

6. On-Chip PLL Response Analysis


fs f s /R

x[n]

y[n/R]

z 1 z 1 R Decimator z 1 z 1 Integrators Comb Filters

Figure 6.20.: Efcient implementation for second order downsampling CIC lter (Hogenauer lter)
fs f s /R

x[n] z 1
RES

y[n/R]

R z 1 Comb Filter

z 1 Integrator

Accumulate & Dump

Figure 6.21.: Second order downsampling CIC lter with dump and reset

sponse of the downsampling CIC lters in Fig. 6.19 - 6.21 is identical to (6.3.3), but it is usually expressed as a function of the frequency F, normalized w.r.t. the reduced sampling rate at the output (6.3.4): |HCIC (F)| = sin F sin F R
N

|R sinc F|N

for F =

fR 1 fS

(6.3.4)

Some effects of multirate CIC lters can be seen from (6.3.4): The lter has a DC gain of G = RN , requiring an output word length W Lout : W Lout = N log2 R +W Lin (6.3.5)

The sincN low-pass characteristic introduces a droop at the edge of the passband FC (6.3.6). |HCIC (Fc )| sin Fc = |HCIC (0)| R sin Fc R
N

|sinc Fc |N

(6.3.6)

This droop is normally compensated in the subsequent ltering stage(s). In this work, compensation is performed off-chip to avoid additional hardware. A more severe restriction is aliasing of signal components around multiples of the reduced sampling frequency, especially for M bitstreams as in this application where the quantization noise is concentrated at high frequencies. The nulls

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal

139

of the comb lter at F = k suppress multiples of the reduced sampling frequency, 1 avoiding aliasing back to DC. The comb lter maxima are at F = k + 2 , therefore the worst case alias suppression occurs at the passband edges fc,k = k fS /R fc resp. Fc,k = k FC with k = 1, 2, . . . (6.3.7) which are all mapped back to Fc by decimation (Fig. 6.22).
0 10 20 30 40 50 60 70 80 90 100

0.5

111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000
1

20 log | HCIC (F) | [dB]

1.5

11 00 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000 11 00 111 000
2

2.5

111 11 000 00 111 11 000 00 11 111 00 000 00 111 00 000 11 111 00 000 11 111 00 000 11 111 00 000 11 111 000 11 111 11 000 00 111 11 000 00 11 00 111 11 000 00 111 11 000 00 111 11 000 00 111 11 000 00 111 11 000 00 111 000 111 11 000 00 111 11 000 00 11 00 111 11 000 00 111 11 000 00 111 11 000 00 111 11 000 00 111 11 000 00 111 000 111 11 000 00 111 11 000 00 11 111 00 000 00 111 00 000 11 11
3

3.5

111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000 111 000

Normalized Frequency F

Figure 6.22.: Transfer function of downsampling CIC lter with N = 2 and R = 4. Hatched regions are folded back to F = 0 . . . 0.25

HCIC (Fc,k ) sin Fc R = |HCIC (Fc )| sin Fc Fc k Fc

sin (k Fc ) sin (kFc ) R

sin Fc R sin (kFc ) R

(6.3.7) (6.3.8)

A higher decimation factor increases droop in the passband and aliasing for a constant corner frequency fc but reduces the requirements for subsequent ltering. Tab. 6.1 shows some typical cases. The conguration selected for this work, R = 32, N = 2 has been highlighted, the simulated output spectrum of the FD with the overlaid CIC frequency response is shown in Fig. 6.23. As M quantization increases towards fS as well as the alias rejection of a CIC lter, the order of the CIC lter should be larger than the order of the modulator. Fig. 6.24 gives a graphical representation of the quantization noise at

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

140

6. On-Chip PLL Response Analysis

Order Rate N 1 2 2 3 3 R 256 16 32 16 32

Gain (dB) 48.2 48.2 60.2 72.2 90.3

Passb. Droop (dB) Fc = -0.9 -1.8 -1.8 -2.7 -2.7


1 4 1 8 3 4

Alias Rejection (dB)


7 8 R 2 1 4 R 2 1 8

-0.22 -0.45 -0.45 -0.67 -0.67

9.5 19.0 19.1 28.5 28.6

16.9 33.7 33.8 50.6 50.7

50.3 52.3 64.4 78.5 96.6

56.3 64.4 76.4 96.6 114.7

Table 6.1.: Passband droop and alias rejection of CIC lters

40 Samples: 145600 RBW = 1071.4 Hz (30.3 dB) 60

20 log |S y |

80

100

120 3 10

10

10

10

10

Frequency (Hz)

Figure 6.23.: Two-tone spectrum at FD output with overlaid CIC frequency response (simulation)

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal

141

the output of a CIC - lter versus the oversampling ratio OSR = fS /2 fc = R/2Fc [Can86]. Quantization noise is shown for oversampled PCM (l = 0) and M of order l = 1 and l = 2, it is plotted relative to the level of Nyquist PCM with the same quantization step size Q . When the order k of the CIC lter is larger by one than the M (k = l + 1), total output noise is independent of decimation R for a given OSR (horizontal lines). Lower decimation ratios R decrease the amount of aliasing, but the CIC lter also has a weaker low-pass characteristic. Starting from the intersection of the l-line and the OSR, the output noise is found by following the k = l or k = l + 1 line to the value of the decimation ratio.

RMS Noise [dB]

Oversampling Ratio
Figure 6.24.: M quantization noise after CIC ltering plotted against the oversampling ratio [Can86]

In this work, the rst order FD (l = 1) delivers a bit stream with a sampling rate of 26 MHz. Only an order of k = 2 was possible for the CIC lter due to severe area restrictions. As the signal bandwidth is fc = 200 kHz with special focus on the loop bandwidth of 0 . . . 100 kHz for measuring, a decimation factor of R = 32 was chosen, giving an output sampling rate of fS,R = 812.5 kHz. This low ratio between signal bandwidth and sampling rate allowed an especially efcient implementation of the band-pass lters.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

142

6. On-Chip PLL Response Analysis

40

60

20 log |S y |

Samples: 145600 Freq. Points: 18201 RBW = 1071.4 Hz (30.3 dB) 80

100

(a)
120 4 10 60 50 40 30 10
5

20 log |S f |

20 10 0

Samples: 4476 Freq. Points: 560 Peak Value = 89.64 dB at 0 Hz RBW = 1089.1 Hz (30.4 dB)

10 20

(b)
30 104

Frequency (Hz) 10

Figure 6.25.: Simulated two-tone spectrum at FD output (a, zoomed in) and at the output of the downsampling CIC lter (b)

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal

143

The spectrum at the output of the downsampling CIC lter (sampled at fS,R = 812.5kHz) is shown in Fig. 6.25 together with the input spectrum, sampled at fS = 26MHz. As expected, the increasing droop of the CIC lter can be seen above 200 kHz and some folded back spurious lines. The frequency range of interest up to 200 kHz remains undisturbed.

6.3.4. Narrowband Filtering


As discussed in Sec. 6.3.2, spectral estimation of the decimated bitstream is performed with a tunable narrow band-pass lter where the center frequency is set with few or even a single parameter to facilitate programming. The absolute bandwidth has to stay constant across the frequency range, requiring a special lter topology as most tunable lters have a constant relative bandwidth referred to the center frequency. A similar concept has rst been presented in [TR95a] for the BIST of ADCs.
Undamped Resonator

x [n]

z 1

y1 [n]

kf w[n] z 1 kf y2 [n]

Figure 6.26.: LDI based resonator

The tunable band-pass is implemented with the undamped LDI-based resonator in Fig. 6.26 that has also been used in the multi-tone generator (Sec. 5.1.3). It is placed in the resonator-in-a-loop structure in Fig. 6.27 (Sec. 2.9.3) to obtain a dened lter characteristic. Its transfer function can be derived using Masons rule: H1 (z) = 1 2 k2 z1 + z2 f 1 2 k2 z1 + z2 f k f z1 1 z1 z1 1 z1 Output y1 (6.3.9)

H2 (z) =

Output y2

(6.3.10)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

144

6. On-Chip PLL Response Analysis

29 k f fr [kHz]

1 0.253

40 10.1

200 50.8

512 135.4

715 199.9

1024 394.8

Table 6.2.: Resonance frequency for fS,R = 812.5 kHz

The poles of (6.3.9) and (6.3.10) are given by: k2 f 2 2 k2 f 2


2

z p1,2 = 1

= 1

k2 f 2

j k2 k4 /4 f f

(6.3.11)

The resonator poles have a radius of r = 1 (undamped oscillation), as a consequence the resonance frequency r is the same as the pole angle p : r = p = arctan = arcsin k2 k4 /4 f f = arctan k2 k4 /4 f f 1 k2 + k4 /4 f f (6.3.12)
1+ x 1x2

1 k2 /2 f

k2 k4 /4 = 2 arcsin k f /2 k f for k f < 0.1 f f


1x2

using arcsin x = arctan x

= 2 arctan

1+

1x2

and arctan x = 2 arctan

The absolute resonance frequency fr is calculated with the reduced sampling frequency fS,R = 812.5 kHz. Tab. 6.2 gives some example values. kf k f fS,R fS,R r fS,R = arcsin 2 2 2 2 fr fr k f = 2 sin fS,R fS,R fr = (6.3.13) (6.3.14)

Resonator-in-the-Loop

An additional feedback path stabilizes the undamped resonator in Fig. 6.26 by providing a dened amount of damping kBW . The resulting structure has an approximately constant bandwidth B or quality factor Q depending on the position of the feedback (Fig. 6.27). Its transfer function is derived from (6.3.9) resp. (6.3.10) [PM93]: YBP (z) kBW Hi (z) HBP,i (z) = = (6.3.15) X(z) 1 + kBW Hi (z)

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal


Constant BW z 1 ybp1 [n]

145

k bw x[n]


kf

z 1 kf ybp2 [n]

Constant Q

Figure 6.27.: Resonator based lter with constant B or constant Q

At the resonance frequency, Hi (z) becomes innity, the corresponding resonant gain of the band-pass HBP,i (z) is unity for both resonator types. For r p 1, this also corresponds to a constant peak gain of 1. For resonator 1, the band-pass transfer function HBP,1 (z) is given by (6.3.16).
kBW z1 (1z1 )

HBP,1 (z) =

kBW H1 (z) YBP1 (z) = = X(z) 1 + kBW H1 (z) kBW z1 1 z1

1 2k2 z1 +z2 f

1+

kBW z1 (1z1 ) 1 2k2 z1 +z2 f

1 2 kBW k2 z1 + (1 kBW ) z2 f

(6.3.16)

The center frequency of the band-pass is c r = p k f (6.3.12); comparison of (6.3.16) with (2.7.3) shows that the pole radius is independent of the pole angle: a2 = kBW 2 kBW = 1 r2 p

rp =

1 kBW 1

(6.3.17)

Approximations for bandwidth, quality factor and settling time of a high-Q second order resonator have been derived in (2.7.22) - (2.7.24), showing that BP1 has a constant absolute bandwidth over the tuning range. The relative band-

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

146

6. On-Chip PLL Response Analysis

0 10 |H(f)| (dB) 20 30 40 50 0

2 Frequency [Hz]

3 x 10

4
5

Figure 6.28.: Transfer functions of resonator based lter with constant BW for kBW = 28 and k f = 29 100 . . . 1000

width decreases and the Q-factor increases for higher center frequencies (6.3.18): 1 rp kBW Ts 2Ts kf p 1 QBP,1 2 (1 r p ) kBW Brel 1 TS BP,1 = 2BBP,1 kBW BBP,1

(6.3.18)

The peak gain of Fig. 6.27 increases slightly over the frequency band of interest due to the inuence of the conjugate pole at p . It is eliminated by the modied structure Fig. 6.29 [TR93a] with an additional zero at z = 1 (6.3.19) as described in Sec. 2.7.4. Fig. 6.28 shows the resulting transfer functions for different center frequency settings. HBP,1b (z) = HBP,1 (z) = 1 + z+1 2 (6.3.19)

1 z1 1 + z1 kBW 2 1 2 k k2 z1 + (1 k ) z2 BW BW f

The resulting peak gain frequencies are fc,1 (k f = 1) = 135.560 kHz and fc,2 (k f = 1 + 29 ) = 135.850 kHz, the frequency step is 290 Hz. The -3 dB bandwidth is B3 = 505 Hz and the -60 dB bandwidth is B60 = 284 kHz, giving a large shape factor (= weak selectivity) of SF = B60 /B3 = 562 .

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal


k bw x[n]

147

z 1

kf kf

1/2 ybp1,b [n] z 1

Figure 6.29.: Resonator based bandpass with constant peak gain

At the same time, the -3 dB bandwidth is so narrow that a tone in the middle between the maxima of two lter settings appears to have an amplitude that is lower by Hsc = 1.2 dB compared to a tone exactly at the center frequency (Fig. 6.31(a)). This effect is known as (peak) scalloping loss [Har78] from DFT analysis, creating errors for the measurement of narrowband signals.
1 0.5 0 |H(f)| (dB) |H(f)| (dB) 0.5 1 1.5 2 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 0.5 1 Frequency f [Hz] 1.5 x 10 2
5
Time: 199448.4375 Amplitude: 0.0016361

1.98

1.985 1.99 1.995 Frequency f [Hz]

2 x 10
5

Figure 6.30.: Bandpass gain |HBP ( f )| (a) and worst case scalloping loss Hsc (b) of 2nd order resonator (kBW = 28 , k f = 1)

Forth Order Band-Pass

The design tradeoffs between selectivity, i.e suppression of out-of-band tones, and constant transmission in the passband are rather limited for a second order resonator. A high Q-factor enhances the selectivity at small frequency offsets, but at larger offsets only the weak rst order roll-off is effective. Cascading two identical second order resonators gives a forth order resonator with improved rolloff that also has constant bandwidth and a peak gain of 1. However, Fig. 6.31(c)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

148

6. On-Chip PLL Response Analysis

Filter N 2 4 4 4 4 k f 29

|H (c )| (dB) 0 0 2.5 10.8 7.3

|Hrp | (dB) 0.08 1.3

BN (kHz) 0.794 0.398 0.530 0.908 1.189

B3 (kHz) 0.505 0.325 0.458 0.822 1.18

B60 (kHz) 135.9 16.1 18.4 24.3 29.7

SF

0 0 1 2 3

269.1 49.5 40.3 29.6 25.2

Table 6.3.: Bandpass properties at k f = 1 ( fc = 135.4 kHz)

shows that the peak scalloping loss is even worse in comparison to the second order resonator (Hsc = 2.5 dB). One solution for reducing the variation Hsc of the measured peak amplitude is to increase the bandwidth by using a staggered lter: Two second-order band-pass sections are cascaded with slightly different center frequencies, giving a wider passband and forth order transition regions. Fig. 6.31(e) and 6.31(f) show a forth order band-pass where the coefcients determing the center frequency of the two section differ by k f = 2 29 or 584 Hz. This gives a reduced peak scalloping gain of Hsc = 0.5 dB and a selectivity SF = 29.6 that is improved by nearly an order of magnitude compared to the second order resonator. However, this approach also has some drawbacks: The staggering reduces the peak gain and hence the SNR of the lter. The relationship (6.3.13) between the parameter k f and the center frequency c is only approximately linear, the difference in center frequencies that corresponds to k f = 2 29 increases with larger values of k f . As a consequence, the bandwidth and also the peak gain |H(c )| of the staggered band-pass now depend on the center frequency c . When k f 2 29 , the resonator response has two distinct peaks and a minimum, giving a passband ripple |Hrp | that also depends on c . Here, a staggered tuning of k f = 2 29 has been selected as a compromise between low scalloping loss and tolerable parameter variations over the frequency range which is limited to approx. 200 kHz for the target application.

Tab. 6.3 and 6.4 compare the properties of different bandpass implementations and their variation over the frequency range.

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal

149

0 0.5 1 |H(f)| (dB) |H(f)| (dB) 1.354 1.355 1.356 1.357 1.358 1.359 1.36 1.361 5 Frequency [Hz] x 10 1.5 2 2.5 3

0 10 20 30 40 50 60 0.5 1 1.5 2 Frequency [Hz] 2.5 3 x 10


5

(a)
0 0.5 1 |H(f)| (dB) 1.5 2 2.5 3 1.354 1.355 1.356 1.357 1.358 1.359 Frequency [Hz] 1.36 x 10
5

(b)
0 10 20 |H(f)| (dB) 30 40 50 60 1.3 1.35 Frequency [Hz] 1.4 x 10
5

(c)

(d)

10 7.5 8 |H(f)| (dB) |H(f)| (dB) 1.356 1.358 1.36 1.362 Frequency [Hz] 1.364 x 10
5

20 30 40 50 60 1.25 1.3 1.35 Frequency [Hz] 1.4 1.45 x 10


5

8.5 9 9.5 10 1.354

(e)

(f)

Figure 6.31.: -3 dB and -60 dB bandwidth of different band-pass lters with kBW = 28 at k f = 1: (a) and (b) second order, (c) and (d) forth order and (e) and (f) forth order with staggered tuning k f = 2 29

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

150

6. On-Chip PLL Response Analysis

5 8.9 6 9 |H(f)| (dB) 7 |H(f)| (dB) 0.5 1 Frequency f [Hz] 1.5 x 10 2


5

9.1 9.2 9.3 9.4 1.985 1.99 1.995 Frequency f [Hz] 2 x 10


5

10

Figure 6.32.: Bandpass gain |HBP ( f )| (a) and worst case scalloping loss Hsc (b) of 4th order resonator (kBW = 28 , k f = 1, k f = 2 29 )

Filter N 2 4 4 4 4 k f 29

|HBP ( fc )| (dB) fc,min 0 0 fc,max 0 0

|Hrp,max | (dB) 0.47 2.2

Hsc (dB) 1.7 3.3 1.2 0.5 0.5

B3 (kHz) fc,min 0.505 0.325 0.426 0.710 1.021 fc,max 0.505 0.325 0.521 0.981 1.394

0 0 1 2 3

3.4 1.9 12.4 9.3 9.0 6.0

Table 6.4.: Variation of bandpass properties over fc,min = 10 kHz . . . fc,max = 200 kHz

The equivalent noise bandwidth Bn was calculated numerically using (6.3.20). Noise bandwidth variation over the frequency range was comparable to B3 , i.e. 16 % or 1.3 dB for k f = 28 . Bn = 1 H 2( f
c) 0

H 2 ( f )d f

(6.3.20)

Another option for reducing the variation of the measured peak amplitude would be to apply "video ltering", i.e. calculating the average of several frequency bins which is best performed off-chip. When the scaling factors are made dependent on the frequency bin, the inuence of the non-equidistant frequency bins can also be compensated.

Christian Mnker

March 10, 2010

6.3. Spectral Analysis of Baseband Signal


Settling Time

151

The response time of a lter is inversely proportional to its bandwidth, which puts a lower bound on its time resolution. As shown, in Sec. 2.7.5, the settling time-constant can be estimated from the pole radius (2.7.24): 1 TS = 2B 1 r p

6.3.5. Envelope and Display Detection


Ideally, the estimation of the power spectral density requires averaging of the squared band-pass output signal (6.3.21). When only low-bandwidth signals shall be transferred to the ATE, these calculation have to be performed on-chip. Ps = lim 1 T 2T
T T

s2 (t) dt = s2 (t)

(6.3.21)

However, the output of a narrow band-pass is nearly sinusoidal and the signal power can be approximated by (6.3.22), calculating only the average of the absolute signal value on chip and performing squaring and scaling off-chip. In contrast to analog circuits, perfect calculation of the absolute value requires very little hardware in digital signal processing. |s sin(t)| = 2s s2 2 2 Ps,sin = s2 (t) = = |s(t)| 2 8 for sinusoids (6.3.22)

For slowly varying signals, ideal averaging can be approximated by a moving average over a nite time T : Ps Ps (T ) = 1 2T
T T

s2 (t) dt

(6.3.23)

This is analogous to simple analog envelope detection performed with a diode and an RC low-pass lter. The choice of the cut-off frequency is determined by two contradicting requirements, the settling time and the ripple attenuation. Both effects should generate a total error of less than 0.5 dB or 6%: The settling time Tsettle of the lter to an accuracy of 0.25 dB (3%) should not exceed 5 ms for an acceptable measurement time. The ripple of the demodulated, rectied, squared signal due to the second harmonic of the test tone should be less than 0.25 dB (3%).

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

152

6. On-Chip PLL Response Analysis

Assuming a rst order system with a time constant of = 1/2 fc , settling within 2% is achieved after TSettle 4 , limiting the cutoff frequency to fc > 2/TSettle = 0.64/TSettle = 0.13 kHz. The amplitude of the harmonics of a full-wave rectied sinusoid (only even harmonics), relative to the DC component are given by [BS81, p. 617]: a2k 2 4 = = a0 (2k + 1)(2k 1) 15 for k = 1 (6.3.24)

The (relative) ripple of the squared sum of a DC signal with level A and a sinusoid with amplitude c is approximated by

= 0.03 > a2 min{{(a0 + c sin t)2 } 2 0

c c < 0.015 . a0 a0

(6.3.25)

This means, the attenuation of the moving average lter for the second harmonic needs to be at least HMA < c a0 = 0.056 25 dB . a0 a2k (6.3.26)

Averaging is performed by another downsampling CIC lter for minimum chip area. Choosing R = 256 and N = 1 yields a sampling frequency of 3.17 kHz at the output. The -3 dB frequency of a rst order CIC lter is at F 0.44, i.e. at f = 1.39 kHz. The lowest frequency component that has to be regarded for aliasing is the second harmonic of the lower edge of the frequency range (10 kHz). Alias suppression at F = 6.5 ( f = 20.6 kHz) is 0.077 or 22.3 dB (6.3.7). This creates a worst case error of 0.35 dB, falling a bit short of the target of 0.25 dB. However, this error quickly decreases for higher test-tone frequencies. The averaged demodulated output value can be read via a three wire bus, video detection and ltering etc. can be performed by software when needed.

Christian Mnker

March 10, 2010

In theory, there is no difference between theory and practice. In practice, there is.

Yogi Berra

Implementation and Measurement Results


The implementation of the SP-BIST concept on test chips in 130 nm CMOS technology is described. Measurement results for unmodulated and modulated PLL signals are given and a method is described to reduce the spurious lines created by the rst order FD.

7.1. Baseband Test-Tone Generation


The test-tone generator has been synthesized from VHDL, occupying an area of ca. 0.02 mm2 .

7.1.1. Oscillation Frequency


The signal frequency is monotonous but slightly nonlinear with respect to the coefcients a, bi . Fig. 7.1 shows the oscillation frequency depending on coefcient b and the error caused by the approximate frequency formula (5.2.4). The exact oscillation frequency can easily be calculated on the ATE resp. controlling PC using (5.2.1). The binary encoding of the coefcients is QU1.14 (Sec. 2.8). The value a = 24 is xed, possible values for b1 and b2 with the resulting frequencies

154

7. Implementation and Measurement Results

BinWord Parameter a 010000000000 000100000000 001000000000 010000000000 100000000000 000000001111 000010011111 001001011111 010000001111 011111111111

BinWord (dec.) 1024 256 512 1024 2048 15 159 607 1039 2047

RWV

Frequency (kHz)

24 26 25 24 23 9.16 104 9.70 103 3.74 102 6.34 102 1.25 101

n.a. 65 91 129.3 183 15 51 100 130 183

Parameter b1 (Tone 1)

Parameter b2 (Tone 2)

Table 7.1.: Frequencies of programmable tones

are shown in Tab. 7.1, spanning a frequency range of 15 kHz < fsig < 183 kHz for a sampling frequency of fS = 26 MHz. b1 is the reference tone which can only be set in four coarse steps, b2 can be varied in 127 steps between 15 and 2047 (the 4 LSBs are xed to 1111). Tab. 7.6 in the appendix shows the programming register for the multi-tone generator.

7.1.2. Amplitude and Amplitude Variation over Frequency


The multi-tone digital oscillator described in [LR98] has been implemented on a DSP with 24 bit arithmetics and achieves a constant amplitude for all tones by pre-calculating and storing initial conditions for each tone. The minimum area constraint in this work mandates a simplied approach: The initial conditions for all tones are set to xa (0) = x0 and xb,1 (0) = xb,2 (0) = 0, allowing the simplication of (5.2.1) - (5.2.3):

Christian Mnker

March 10, 2010

7.1. Baseband Test-Tone Generation

155

200

0.04

Signal frequency f

sig

(kHz)

150

0.03

100

0.02

50

0.01

0.02

0.04

0.06 0.08 Resonator coefficient b

0.1

0.12

0 0.14

Figure 7.1.: Frequency of LDI oscillator

sig = fS arccos 1 a = arctan


xa =

ab 2

for 0 < ab 2

2 sin (sig Ts ) ab

(1 ab) xa (0) sin (sig TS + a )

This simplication results in a amplitude variation xa ( f ) of less than 0.1% over signal frequency, shown in Fig. 7.2. The error due to this amplitude variation is much less than other error sources and is removed by the calibration procedure described in Sec. 7.5.6. The amplitude of both tones can be set in steps of 6 dB (Tab. 7.2).

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

Relative approximation error (%)

156

7. Implementation and Measurement Results

0 0.01 0.02 (%) Rel. Amplitude Error a


err

0.03 0.04 0.05 0.06 0.07 0.08 0.09 0.1 0 0.02 0.04 0.06 0.08 Resonator coefficient b 0.1 0.12 0.14

Figure 7.2.: Amplitude error of LDI based oscillator

BinWord 000000100000000 000001000000000 000010000000000 000100000000000

Amplitude 26 25 24 23

Amplitude (dB) -24 dB -18 dB -12 dB -6 dB

Table 7.2.: (Ideal) amplitudes of programmable tones vs. initial condition x(0)

7.2. Output Response Analysis


7.2.1. Sigma-Delta Frequency Discriminator
The sigma-delta frequency discriminator (FD) in this work takes advantage of the high transit frequency of the 130 nm CMOS technology: It is directly clocked with the 4 GHz signal of the VCO for maximum simplicity. The schematic is shown in Fig. 7.3.

Christian Mnker

March 10, 2010

7.2. Output Response Analysis

157

Figure 7.3.: Schematic of FD

Multi-Modulus Divider

The multi-modulus divider is a critical part for the performance of the FD as divides the RF PLL signal by a ratio that changes every reference cycle without producing glitches or random delays, ruling out asynchronous counters or dividers. In synchronous counters, all ip-ops are clocked with the input frequency, which is hard to design for radio frequencies and consumes a lot of power.
RFin
Fin

2/3
Fout M in P Fin

2/3
Fout Fin M out M in P

2/3
Fout Fin M out M in P

2/3
Fout M out M in P

DIV out

n2

n1

Figure 7.4.: Multi-modulus divider made from a chain of 2/3 divider cells

Due to the high reference frequency of 26 MHz, the required division ratio only has to span the range of 115 . . . 154 for a PLL frequency of 3 . . . 4 GHz. This is accomplished by the architecture in Fig. 7.4 [VFL+ 00], consisting of a chain of 2/3 cells (Fig. 7.5). This topology has the advantage that only the rst divider has to be designed for the full frequency and that the switching of division ratios is self-synchronized with the divided output signal. A simple cascade of

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

158

7. Implementation and Measurement Results

dual-modulus divider cells would not work because a division ratio like N = 129 cannot be constructed from a product of 2s and 3s. The solution is adding one extra cycle per output period if the modulus control Pi = 1. This is achieved with the control input and output pins Min and Mout (Fig. 7.5). The modulus enable input Min enables the P input pin, the modulus enable output Mout synchronizes the Min input and passes it on to Min of the previous divider stage. This daisy chain connection ensures that each divider stage swallows a maximum of one pulse per divide cycle (depending on Pi ), giving the targeted division ratio (7.2.1):

NMMD = 2n + Pn1 2n1 + . . . + P1 2 + P0

(7.2.1)

Divider /2 with enable

1
EN Fin

D1

Fout
D Q

DFF1
Q

2/3
critical path

Fin

Fout

M in
Q D

=>

DFF2
Q

&
D2

M out M in P

M out

Phase Shift
M_in = 0 => /2 M_in = 1 => P enabled

&
P = 0 => /2 P = 1 => /3

Figure 7.5.: High-speed 2/3 divider cell with modulus enable

Fig. 7.5 shows an 2/3 divider cell with modulus enable input. High speed is achieved by shifting the P - NAND into D-FF2 (between the master and the slave stage) to shorten the critical path. Synchronizing the programming word guarantees a xed timing relationship between programming word and divided clock which allows switching the division ratio without glitches. RF frequencies in the range of 4 GHz require special ip-ops, here, a dynamic ip-op is used (Fig. 7.6), similar to the design presented in [YS89].

Christian Mnker

March 10, 2010

7.2. Output Response Analysis

159

2M

2M

2M

3M

2.4M

2M 1.6M

2M

1.2M

2.2M

2M

2.2M

Figure 7.6.: Dynamic high-speed ip-op

7.2.2. Spectral Analysis of Demodulated Bitstream


Downsampling

As described in Sec. 6.3.3, the rst downsampling stage is a second order (N = 2) downsampling CIC lter with a decimation ratio of R = 32 (Fig. 6.21). The DC-gain is HCIC1 (0) = 322 = 1024 30 dB, the word length at the input is W LCIC1,in = 1 (single-bit M stream) and at the output W LCIC1,out = N log2 (R) +W LCIC1,in = 11.
Band-Pass Filter

The tunable forth-order bandpass lter is based upon a resonator-in-the-loop topology, its center frequency can be tuned in the range of 0.3 . . . 400 kHz, the usable and important range for this application is limited to 200 kHz. At higher frequencies, the scalloping loss and the bandwidth variation becomes too large (Sec. 6.3.4). The reduced sampling rate of fS,R = 812.5 kHz allows sharing of the areaintensive multiplier between blocks in the band-pass: The signal is passed through the resonator twice, the center frequency is slightly detuned for the second pass (staggered tuning). Both multipliers in the resonator have the same coefcient k f (Fig. 6.29), easing multiplier sharing. The bandpass is implemented

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

160

7. Implementation and Measurement Results

with only one multiplier in an area of less than 0.03 mm2 . An accumulator length of 21 bits and a tunable coefcient length of only 9 bits were sufcient to achieve a SQNR of 90 dB. This and the fact that the resonance frequency fr is set with a single parameter k f are the main advantages of this lter. An implementation error in the lter that was not detected during nal simulations creates an overall transfer function (CIC-lter and bandpass) with a variation of 5 dB over the frequency range. However, the option for measuring the baseband response that has been implemented for compensating the scalloping loss can also be used to eliminate the faulty frequency response (Sec. 7.5.6).

Envelope Detection

The envelope detector has been implemented as described in 6.3.5, averaging of the rectied signal is performed with a rst order downsampling CIC lter with a decimation ratio R = 256. The output word is truncated to t the result register length W L = 16.

7.3. Area Estimation and Layout


Except for the multi-modulus divider (MMD), the whole SP-BIST was synthesized from VHDL code. The cells were placed and routed together with the other logic building blocks of the DUT. For this reason, only the layout of MMD can be shown, the other cells are absorbed into the synthesized logic of the DUT. Figures for the area consumption (including routing) were taken from the report les of the P & R software (Tab. 7.3). The layout of the MMD is shown in Fig. 7.7, occupying an area of only 75 m x 75 m = 0.0055 mm2 , half of which is consumed by decoupling capacitors and could possibly be reduced. Block Area (mm2 ) Sine Gen 0.02 FD 0.005 Filter 0.035 Total 0.06

Table 7.3.: Silicon area of SP-BIST blocks

In comparison, the MADBIST in [TR95a, TR95b] uses an area of 3.9 mm2 in a 0.8 m technology for a two-tone generator and a tunable band-pass alone (no

Christian Mnker

March 10, 2010

7.3. Area Estimation and Layout

161

downsampling, no envelope detector). This is equivalent to an area of approx. 0.1 mm2 in the 130 nm technology of this work. Although MADBIST and SPBIST cannot be compared directly (Sec. 4.3), it can be estimated that the area reduction was achieved by reduced coefcient and multiplier precision (24 bit in [TR95b]), enabled by a reduced sampling rate (multirate signal processing) and the resulting relaxed lter requirements.

Latches & Logic

50 um

HighSpeed Divider Cells

75 um

50 um

Decoupling Cs

75 um

Figure 7.7.: Layout of MMD

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

162

7. Implementation and Measurement Results

7.4. Test Chips


Fig. 7.8 shows the circuit-under-test (CUT) together with the SP-BIST. The multitone sine generator digitally modulates the PLL, the RF output of the PLL is demodulated with the FD.
RF out PFD
f

Reference Frequency

Loop Filter

VCO N m

mod

d dt

f
f

mod

SDM Channel Word N.F


y

TX Filter

SPBIST
Stimulus Generator

STIM

+1

Spectrum Analyzer

Spectrum
t f +1

SDFD

Pass / Fail

ORA

Figure 7.8.: RF PLL under test with SP-BIST

The test-tone generator and the output response analyzer were integrated on two different highly integrated RF transceiver ICs for GSM, EDGE and UMTS cellular standards manufactured by Inneon Technologies. As no interaction with RF paths was required, the circuits-under-test (receive and transmit PLLs) could remain untouched. The most challenging part of the integration was merging the synthesizable code into the complex digital state machine under the tight restrictions of a worldwide distributed project with more than 100 members. Control and read-out of the BIST blocks are performed via the common digital interface. As details of the DUT may not be disclosed here, Fig. 7.9 only shows a block diagram of one of the transceiver ICs; the two PLLs with added SP-BIST functionality have been highlighted. The rst test-chip was a quad band GSM transceiver chip similar to Fig. 3.8 with an additional single-tone test-tone generator. Unfortunately, the test-tone generator could not be properly tested as no spectrum analyzer with FM discriminator capabilities was available.

Christian Mnker

March 10, 2010

7.4. Test Chips

163

SPBIST (RX) SPBIST (TX)

Figure 7.9.: Block diagram of multimode RF transceiver

The second and third SP-BIST implementations were realized on the multimode RF transceiver shown in Fig. 7.9, containing two-tone stimulus generator and the full spectral RF analysis block.

On the third test chip, the SNR of the lter was improved by increasing accumulator word length from 15 to 21 bits. A higher selectivity was achieved by a higher resonator Q (kBW = 25 28 ) and by introducing the concept of staggered tuning.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

164

7. Implementation and Measurement Results

7.5. Measurement Results


Unless noted otherwise, all measurement plots in this section have been taken with the on-chip spectrum analyzer, showing the PSD Sy ( f ) of the frequency deviation. Center and tone frequencies were set with a PC via the serial bus of the DUT, measurement data was read back from the chip via the same bus. All signal processing was performed on chip except optional averaging and calibration (see below), data was plotted with Matlab. For performance evaluation of the SPBIST, the whole frequency range was swept through. Under real production test conditions, only some critical frequency points would be measured (3 ms per point) to reduce the test time.

7.5.1. Disturbances Caused by SP-BIST

(a)

(b)

Figure 7.10.: Unmodulated PLL spectrum without (a) and with (b) active FD, measured with spectrum analyzer at TX output

The multi-tone generator is connected to the digital PLL modulation input and is completely invisible when deactivated. The additional capacitive load that also the inactive FD presents to the VCO buffer could degrade the PLL performance, however, no degradation was measured in comparison to chip variants without SP-BIST (Fig. 7.10(a)). In active mode, spurs at multiples of the reference frequency (26 MHz) appear in the output spectrum (Fig. 7.10(b)) which do not disturb the in-band measurements. If it is intended to run the SP-BIST blocks during normal PLL operation (e.g. for monitoring the PLL spectrum), a few

Christian Mnker

March 10, 2010

7.5. Measurement Results

165

more buffers have to be inserted between VCO buffer and FD for additional isolation.

7.5.2. Spectrum of Test-Tone Generator


The output of the stimulus generator can be fed directly into the narrowband lter (see Fig. 7.8) for baseband performance verication of the tone generator and the narrowband lter with envelope detector. Fig. 7.11 show the overlaid results of measurements with different tone frequencies, using different lter staggerings. Fig. 7.11 has been constructed from the maxima of individual measurements, although this gives a pessimistic view of the noise performance. Instead of the expected droop in the frequency response due to the CIC lter, there is an unexpected increase over frequency. This effect could be traced back to a faulty implementation of the tunable bandpass lter. As the FD has a constant frequency response over the range of interest, this error can be compensated by a reference measurement or simulation that only has to be performed once. The signal-to-noise ratio degrades at higher frequencies due the sigma-delta quantization noise of the multi-tone generator. The tunable lter has a dynamic range of approx. 90 dB as can be seen for frequencies below 50 kHz. This is consistent with simulation results.

7.5.3. Measurement Accuracy


The reproducibility of SP-BIST measurements has been analyzed by repeating a measurement 200 times and calculating the average m and the standard deviation at the output of the envelope detector. The tone frequency was xed at fm = 65 kHz for all measurements. The lter center frequency fc was rst set to the tone frequency ( fc = fm = 65 kHz) to assess the reproducibility of tone measurements and then at an unrelated frequency fc = 104 kHz to assess the noise level (Tab. 7.4). The noise bandwidth is Bn 900 Hz 29.5 dB. First, the reproducibility of baseband measurements (i.e. without PLL and FD) was assessed. For this mode, noise can be attributed to the M of the tone generator and to re-quantization in the lter. The quantity mS /mN is an indication for the SNR, although it should be noted that signal and noise have been measured at different frequencies. Unfortunately, there was not enough time for more in-depth measurements due to a job change.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

166

7. Implementation and Measurement Results

90 80 70 60
Amplitude (dB)

50 40 30 20 10 0

0.5

1.5

2.5 x 10

3
5

Offset Frequency from Carrier (Hz)

(a) k f = 0
90 80 70 60
Amplitude (dB)

50 40 30 20 10 0

0.5

1.5

2.5 x 10

3
5

Offset Frequency from Carrier (Hz)

(b) k f = 2 29

Figure 7.11.: Spectrum of two-tone generator measured with different lter staggering

Christian Mnker

March 10, 2010

7.5. Measurement Results


k f 29 Baseband 0 1 2 3 Full SP-BIST 0 1 2 3 mS 13250 9408 6428 4057 27911 19880 13548 8538

167

fc = fm

fc = 104 kHz

mS /mN [dB] 56.4 59.4 58.1 58.1 49 48 47 45

S
42 50 19 25 102 120 54 62

S /mS
0.3% 0.5% 0.3% 0.6% 0.4% 0.6% 0.4% 0.7%

mN 20 10 8 5 95 82 63 46

N
7 6 5 4 49 45 35 26

N /mN
35% 60% 60% 80% 52% 55% 56% 56%

Table 7.4.: Reproducibility of SP-BIST tone ( fc = 65 kHz) and noise ( fc = 104 kHz) measurements for a tone frequency fm = 65 kHz

In the second step, the full loop including upconversion in the PLL and frequency discrimination in the FD was measured. The SNR degradation of 11 dB compared to baseband measurements is mainly caused by the FD quantization noise and spurs. The RF response has been optimized to make full use of the dynamic range of the SP-BIST. The baseband response is lower by 6.5 dB which can be compensated easily on the ATE or a lab PC. Hence, no onchip gain equalization between the M bitstreams of test-tone generator and FD has been implemented. The results show excellent reproducibility of the tone measurements (0.5% 0.04 dB).

7.5.4. Measurement of Unmodulated Spectrum


Next, an unmodulated PLL signal was fed into the FD. Strong spurious tones, produced by the rst order FD can be seen in the demodulated spectrum (Fig. 7.12(a) and 7.12(b)). The position of these idle tones is determined by the fractional part of the PLL frequency as explained in Sec. 6.2.2. The nearest integer frequency is fI = 146 26 MHz = 3822 MHz, the fractional part f f rac = 3812.348 MHz fI = 9.652 MHz 0.371 26 MHz.

Averaging improves the SNR but FD tones are not attenuated. Averaging the

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

168
80

7. Implementation and Measurement Results

70

60

50

20 log |S y |

40

30

20

10

fVCO = 3812.3487 MHz

0.5

1.5

2.5

3.5 x 10

4
5

Offset Frequency from Carrier (Hz)

(a)
80

70

60

50

20 log |S y |

40

30

20

10

fVCO = 3812.3387 MHz

0.5

1.5

2.5

3.5 x 10

4
5

Offset Frequency from Carrier (Hz)

(b)

Figure 7.12.: Unmodulated PLL spectrum with FD spurious tones at different carrier frequencies ( f0 = 10 kHz)

Christian Mnker

March 10, 2010

7.5. Measurement Results

169

spectrum at K several slightly different carrier frequencies reduces the magnitude of the FD spurs by 20 log K dB as the FD spurs now appear at different offsets. This frequency sweep averaging is feasible as the fractional-N PLL takes only a few s to lock to the new frequency. As the spurious tones are deterministic, these frequencies can be "blanked" out. By selecting only data points that differ less than e.g. 8 dB between measurements, different scale of y-axis), the SFDR can be improved by approximately 30 dB [MW07]. However, this selective frequency averaging has to be applied with care as some kinds of unwanted PLL sidebands also depend on the carrier frequency and may be suppressed as well. The effect of both methods can be seen in Fig. 7.13 for the case of a single-tone modulation.

7.5.5. Measurement of Modulated Spectrum


Fig. 5.11 shows the PLL phase spectrum resulting from single-tone modulation with fre f = 26 MHz, fm = 67 kHz at a carrier frequency f0 = 3.812 GHz. The frequency modulation index is f = 2.1 and the peak frequency deviation is f = 142 kHz. This single-tone modulation is used to calibrate the gain of the spectral analyzer: An RF PSD of Sy = 3.72 105 85.6 dB is calculated, the displayed value is 83 dB, indicating a gain of 168 dB. The displayed noise level is around +32 dB with a noise bandwidth BN = 27 dB, yielding a noise PSD of Sy ( fm = 67 kHz) = 32 27 168 dB = -163 dB. This corresponds to S = Sy + 20 log fm = 163 + 97 = 66 dB. At around 200 kHz, the noise has a constant value of 40 dB. The quantization error of a single tone modulation is still strongly correlated with the signal, leading to strong spurious tones as well (Fig. 7.13(a)). Applying selective frequency averaging improves the result (Fig. 7.13(b)) with the same drawbacks as described above. Two-tone FM produces sufcient randomization to eliminate most idle tones as shown in Fig. 7.14. Again, frequency selective averaging improves the display of measurement results (Fig. 7.14). This gure shows a two-tone spectrum measured on-chip with too weak attenuation of the out-of-band tone, indicating a faulty loop transfer characteristic. With two-tone modulation, the spurious free dynamic range (SFDR) is approx. 45 dB.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

170
90

7. Implementation and Measurement Results

80

70

60

20 log |S y |

50

40

30

20

fVCO = 3812.3387 MHz

10

0.5

1.5

2.5

3.5 x 10

4
5

Offset Frequency from Carrier (Hz)

(a)
90

80

70

60

20 log |S y |

50

40

30

20

fVCO = 3812.3387 MHz

10

0.5

1.5

2.5

3.5 x 10

4
5

Offset Frequency from Carrier (Hz)

(b)

Figure 7.13.: Single-tone (67 kHz) modulated PLL spectrum with averaging (a) and selective frequency averaging (b) (K = 4, f = 10 kHz)

Christian Mnker

March 10, 2010

7.5. Measurement Results

171

90

80

Error

70

Target Loop Characteristic

60

20 log |S f |

50

40

30

20

f VCO = 3812.338 MHz


10

0.5

1.5

2.5

3.5 x 10

4
5

Offset Frequency from Carrier (Hz)

Figure 7.14.: Two-tone modulated PLL spectrum with frequency sweep averaging, showing error in loop characteristic

HORA ( fm ) = Sy,ORA,RF ( fm ) Sy,ORA,RF (64 kHz) Sy ( fm ) = Sy,ORA,RF ( fm ) A0 HORA ( fm )

HPLL ( fm ) = Sy,ORA,RF ( fm ) Sy,BB,RF ( fm ) 6.5 dB S ( fm ) = Sy ( fm ) + 20 log f0 / fm Sy,N ( fm ) = Sy,ORA,RF ( fm ) A0 HORA ( fm ) BN ( fm ) S ,N ( fm ) = Sy,N ( fm ) + 20 log f0 / fm L ( fm ) = S ,N ( fm ) 3 dB

Box 7.1: Formulas for calculation of frequency response and phase noise

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

172

7. Implementation and Measurement Results

90 80 70 60
Amplitude (dB)

50 40 30 20 10 0

0.5

1.5

2.5 x 10

3
5

Offset Frequency from Carrier (Hz)

(a) BB-ORA
90 80 70 60
Amplitude (dB)

50 40 30 20 10 0

0.5

1.5

2.5 x 10

3
5

Offset Frequency from Carrier (Hz)

(b) RF-ORA

Figure 7.15.: Comparison of baseband and RF output response analysis

Christian Mnker

March 10, 2010

7.5. Measurement Results

173

k f 29 f m (kHz) f0 / fm (dB) A0 (dB) HCIC ( fm ) (dB) HBP ( fm ) (dB) HORA ( fm ) (dB) Hy,ORA,BB (dB)

251 64 95.5

285 73 94.4

397 103 91.4

476 125 89.7

545 145 88.4

600 162 87.4

647 177 86.7

161.5 (BB) resp. 168 (RF) 0 0 0 76.2 0.2 +1.2 +1.0 77.2 1.2 +4.3 +3.1 79.3 2.1 +5.8 +3.7 79.9 3.1 +7.8 +4.7 80.9 4.1 +8.6 +4.5 80.7 5.1 +10.4 +5.3 81.5

Tones Hy,ORA,RF (dB) HPLL ( fm ) (dB) Sy ( fm ) (dB) S ( fm ) (dB) 82.6 0.1 85.4 +10.1 83.3 0.4 85.7 +8.7 83.0 2.8 88.1 +3.3 81.6 4.8 90.1 0.4 35 29 166 76 79 79.8 7.6 92.9 4.5 36 30 167 78 81 77.4 9.8 95.1 7.7 37 30 166 78 81 75.4 12.6 99.2 12.5 38 30 165 79 82

Noise Sy,ORA,RF (dB) BN (dB) Sy,N ( fm ) (dB) S ,N ( fm ) (dB) L ( fm ) (dB) 25 29 172 77 80 28 29 170 76 79 32 29 168 77 80

Table 7.5.: Output frequency response measurements (k f = 28 ) at f0 = 3.812 GHz

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

174

7. Implementation and Measurement Results

7.5.6. Measurement of Frequency Response with Calibration


Due to the implementation fault of the bandpass lter and its scalloping loss that creates a ripple of 0.5 dB, a calibration run is required to obtain precise RF measurements. Other systematic error sources like the droop of the test-tone amplitude (< 0.2% 0.02 dB) are eliminated by this procedure as well. For this calibration, a test mode is selected where the output of the test-tone generator is connected directly to the output response analyzer (Fig. 7.8). The measured base band test tone amplitudes Hy,ORA,BB are stored and subtracted from the measured RF amplitudes Hy,ORA,RF at the same frequencies later on. Fig. 7.15 shows the spectra of baseband and RF measurements, Tab. 7.5 the measurement results before and after calibration and Box 7.1 the equations that have been used to calculate the numbers. Measurements at fm = 64 kHz have been taken as the reference values in Tab. 7.5, A0 = A( fm = 64 kHz). For PLL frequency response measurements, the nominal value Hy,ORA,RF Hy,ORA,BB = 6.5 dB has been used as the reference value where the 6.5 dB are the gain difference between baseband and RF response analysis (Sec. 7.5.3).

7.6. Programming Examples


A few practical production measurement scenarios are presented in the following:

Frequency response measurement

The general procedure for a frequency response measurement is: (1) Turn on PLL-under-test and enable test-tone modulation. Turn on reference clock for SP-BIST and the VCO buffer providing the FD with the RF input signal. These registers are outside the SP-BIST and not described here. (2) Turn on FD and select RF (PLL) or baseband (test-tone generator) input with Frequency Discriminator Register. (3) Select carrier frequency of PLL. This register is also outside the SPBIST.

Christian Mnker

March 10, 2010

7.6. Programming Examples

175

(4) Select frequencies and amplitudes for the two test-tones and enable testtone generation with Multi-Tone Stimulus Register. (5) Select center frequency and staggering of bandpass lter with Band-Pass Filter Register. (6) Wait for 3 ms. (7) Read out Result Register. (8) Repeat steps 4 - 7 for every frequency point. On the ATE, the coefcients for the test-tones and the center frequencies have to be calculated (or read from a look-up table). The result word can be averaged to improve the SNR, if desired also at different carrier frequencies of the PLL (selective frequency averaging) (step 3). Finally, the error introduced by the frequency response of the SP-BIST has to be compensated by subtracting the baseband response (Sec. 7.5.6). The baseband response is fully deterministic and can be either pre-computed or measured once and then be stored in a table.

Measurement of phase noise, spurious sidebands or modulation mask

(1) Turn on PLL-under-test and disable all modulation sources or select modulation with Gaussian ltered PRBS source to measure modulation mask. Turn on reference clock for SP-BIST and the VCO buffer providing the FD with the RF input signal. These registers are outside the SP-BIST and not described here. (2) Turn on FD and select RF (PLL) input with Frequency Discriminator Register. (3) Select carrier frequency of PLL. This register is also outside the SPBIST. (4) Disable test-tone generation with Multi-Tone Stimulus Register. (5) Select center frequency and staggering of bandpass lter with Band-Pass Filter Register. (6) Wait for 3 ms. (7) Read out Result Register. (8) Repeat steps 4 - 7 for every frequency point.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

176

7. Implementation and Measurement Results

In addition to the ATE procedures described in the last section, the compensated output value also has to be translated from frequency deviation to phase noise using the resolution frequency and the ratio of modulation frequency and carrier frequency.

7.6.1. Programming Registers


Tab. 7.6 shows the SP-BIST parameters that can be controlled via the common serial control and data bus of the DUT: The Multi-Tone Stimulus Register enables the test tones and controls their amplitudes and frequencies. Alternatively, the stimulus generator can deliver a pseudo-random binary sequence (PRBS). The settings of the band-pass are controlled by the Band-Pass Filter Register: f c0 . . . f c9 set the center frequency of the band-pass with k f = fc /29 and d f0 and d f1 set the bandwidth via the amount of staggering between the two band-pass sections. Most bits of the Frequency Discriminator Register have been implemented for debugging and performance optimization purposes. However, no signicant performance improvements of the FD could be measured, as the drawbacks of the rst order M structure creates the main disturbances. The averaged magnitude of the band-pass output is read back from the Result Register via the same bus.

Christian Mnker

March 10, 2010

7.6. Programming Examples

15 PRBS prbs Order ord Man man r15

14

13

12

11

10

09

08

07

06

05

04

03

02

01

00

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

Multi-Tone Stimulus Register (WRITE) Amplitudes m21 Notch ntch n.c. m20 m21 m20 b26 b25 Frequency Tone 2 b24 b23 b22 b21 b20 Band-Pass Filter Register (WRITE) Bandwidth d f1 d f0 n.c. f c9 f c8 f c7 f c6 Center Frequency f c5 f c4 f c3 f c2 Dither dsgn r4 di2 r3 di1 r2 di0 r1 f c1 f c0 EN en r0 F. Tone 1 b11 b10 Enable en1 en0

Frequency Discriminator Register (WRITE) Channel ch5 r14 ch4 r13 ch3 r12 ch2 r11 ch1 r10 ch0 r9 sel1 r8 Demodulator Mode sel0 r7 ddis r6 dinv r5 Result Register (READ)

Table 7.6.: Programming registers

177

178

7. Implementation and Measurement Results

Christian Mnker

March 10, 2010

The best way to predict the future is to invent it.

Alan Kay

Conclusion and Future Work


8.1. Comparison to Goals
A Spectral BIST concept capable of extracting spectral parameters of RF PLLs has been developed that allows a autonomous block level test. The SP-BIST is started and controlled via three 16 bit write-registers (Tab. 7.6 in Sec. 7.6.1), the result, i.e. the amplitude of the selected frequency band, is read back from the forth 16 bit register. This procedure requires no external measurement equipment and no high-speed ATE support; it can be run in parallel to other tests as long as the normal functionality of the PLLs is not needed. The test results in the frequency domain can be directly compared to the PLL specications. The SP-BIST consists of two distinct digital blocks, a stimulus generator and an output response analyzer and compactor. Multi-tone stimuli for efcient analysis of the PLL frequency response and loop bandwidth are generated with a low-pass -modulated oscillator. This aspect was adopted from the MADBIST mixed-signal test concept for ADCs and DACs (Sec. 4.3). In contrast to the latter, test tones are applied directly to the digital frequency modulation input of the PLL, avoiding intermediate D-to-A conversion. RF demodulation and digitization is performed with a fully digital SigmaDelta frequency discriminator (FD) and decimated in a multi-rate lter. The

180

8. Conclusion and Future Work

actual ltering is again similar to the MADBIST concept, however, in this work, the simple second order resonator has been replaced by a forth order band-pass with staggered tuning that combines a high selectivity with a small scalloping loss. The latter translates to reduced sensitivity against detuning of tone and center frequency, allowing a relatively coarse tuning of stimulus generator and bandpass lter with reduced coefcient word length. An additional envelope detector allows the static readout of the lter output via a minimal test interface. This enables simple testing of RF PLLs also on wafer level, increasing fault coverage for known-good-dies. PLL bandwidth is directly inuenced by loop lter components and indirectly by the open loop gain, which in turn is inuenced by many analog parameters. It is an essential PLL parameter, inuencing spurs, phase noise and modulation performance. Testing bandwidth, in-band phase noise and spurious level at selected frequencies can eliminate most ATE tests. The reduction in coefcient length can be exploited for an overall size reduction as both stimulus generator and band-pass lter are based upon digital lossless resonators, a topology that has nearly fallen into oblivion but is optimally suited for this application: It is robust against coefcient truncation and quantization effects, giving very compact implementations, and the center resp. oscillation frequency is tuned with a single coefcient. Minimum area was also achieved by multi-rate signal processing and optimized test partitioning: Peak lter gain depends somewhat on the frequency and there is a slight non-linearity in the frequency vs. the control word. Correction involves some trigonometric functions and / or calibration which are easily calculated on a PC or ATE but not in hardware. A very efcient simulation and modeling strategy for RF circuits with large digital content had to be developed to simulate the interaction of the complete PLL and SP-BIST including settling and phase noise performance with a standard VHDL simulator. One key point missing in prior works was the precise modeling of the combination of phase detector / charge pump and sampled loop lter model without beat frequency effects: Compensating the timing error due to the sampled lter model by scaling the amplitude of the lter input allowed correct simulation of e.g. the FD performance and loop lter reference feedthrough at -106 dBc without slowing down the simulator. Synthesizable Design: All blocks of the SP-BIST except for the FD have been described in VHDL, synthesized from library cells and placed and routed using the standard design ow. As a consequence, the BIST blocks merge seamlessly with the other logic cells of the SOC, minimizing the design effort and maximizing the portability to different processes. The FD is a fully digital

Christian Mnker

March 10, 2010

8.1. Comparison to Goals

181

block as well, but it has to be designed and laid out carefully by hand as it operates at the full RF speed of 4 GHz. This is especially true for the multi-modulus divider (MMD) as the core component of the FD however, the additional design effort is minimal, as the MMD can be copied from the PLL without changes. Performance: A phase noise oor of the SP-BIST of -80 dBc/Hz and a SFDR of approximately 45 dB have been achieved, mainly limited by the spurious tones of the rst order FD which have been underestimated in the beginning. Frequency response measurements can be performed with an accuracy of 0.05 dB. This is achieved by calibrating the measurements against the baseband response (Sec. 7.5.6), eliminating the ripple of the band-pass lter of 0.5 dB and other systematic error sources. Area and Test Time Reduction: The additional silicon area for the SP-BIST is less than 0.06 mm2 , requiring a test time reduction of 100 . . . 250 ms for breakeven. Although current test strategies for embedded PLLs only allow indirect measurement of PLL bandwidth and cannot be compared directly to the SP-BIST, a test-time reduction in the range of 100 . . . 150 ms can be estimated, compensating the additional area. The improved test coverage for RF tests performed directly on the wafer also adds to the return-on-invest.

Summary

The goal of implementing an autonomous robust Spectral PLL BIST with minimum area has been achieved for performing frequency response measurements in the RF domain with high precision. This test covers many parametric faults that inuence the bandwidth like loop lter time constants, gain of VCO, phase detector and charge pump. However, it was seen that spurious sidebands of the rst order FD degrade measurements too much for a full verication of PLL sidebands, in-band noise and modulation mask against cellular standards specications. This limits the practical use of the current implementation to PLL bandwidth measurements and some functional tests. Still, the presented concept is another step towards RF SOCs that are fully testable on digital testers. Its practical usability could be improved tremendously by a few minor changes described in the next section.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

182

8. Conclusion and Future Work

8.2. Future Work


Replacing the FD with a second order FD should give enough performance boost for full autonomous verication of in-band PLL phase noise and modulation mask, saving several 100 ms test time per PLL. This requires the design of an analog charge pump and comparator which have to be optimized carefully to achieve a robust yet compact design. While the performance of the other blocks is sufcient for the rst order FD the band-pass lter should be designed with somewhat longer coefcients to allow more precise tuning of the center frequency. The order of the rst downsampling CIC lter should be increased, its decimation ratio decreased for a wider operating frequency range and less aliasing. These improvements can be implemented at the cost of a very moderate area increase. Speed-up of the test itself could be achieved by simultaneous multi-tone analysis using several resonators in parallel at the cost of additional chip area [PM91]. The BIST approach could be easily extended to a Spectral Built-In Self-Calibration (SP-BISC) by e.g. tuning the loop lter or the VCO bias point for optimum power consumption and phase noise performance. If necessary, silicon area of the SP-BIST could be further reduced by performing stimulus generation and / or spectral analysis off-chip e.g. in an FPGA in a combined BIST/BOST approach. However, this would mean sacricing the concept of a fully autonomous BIST which means a higher development for test program and DUT test board; self-test and self-calibration of the nished application would no longer be possible. Test support for the measurement of out-of-band PLL noise would be a major step towards fully digital test of RF SOCs: For GSM applications, spurious emissions at offsets above 20 MHz have to be below -129 dBc/Hz for the TX band and even below -165 dBc(Hz) for the RX band (Fig. 3.9). Guaranteeing these numbers in production test currently requires expensive RF ATE and long measurement times, achieving this performance with DfT / BIST circuitry will be a another challenging research task. For technology nodes below 130 nm, the majority of PLLs will be all-digital, i.e. the VCO is replaced by a digitally controlled oscillator and the phase detector by a time-to-digital converter (TDC). These architectures offer new fully digital self-test opportunities by processing the digital output of the TDC, enabling bandwidth and in-band noise measurements in conjunction with the digital test-tone generator and the multi-rate tunable narrowband lter described in this work.

Christian Mnker

March 10, 2010

For every complex problem, there is a solution that is simple, neat, and wrong.

H. L. Mencken

A
R3 to VCO C2 C3

VHDL Behavioral Models

A.1. Loop Filter

R2

R1 I CP

C1

CP

Loop Filter

Figure A.1.: Non-Integrating loop lter with charge pump

The CT s-domain transfer function of the third order non-integrating loop lter in Fig. A.1) is given by (A.1.1):

184

A. VHDL Behavioral Models

H(s) =

1
k33 s3 + k32 s2 + k31 s + 1

with k33 = R1 R2 R3C1C2C3 ,

(A.1.1)

k32 = C1C2 R1 R2 +C1C3 R1 R2 +C1C3 R1 R3 +C2C3 R1 R3 +C2C3 R2 R3 and k31 = R1C2 + R2C2 + R1C3 + R2C3 + R3C3 + R1C1

It is translated to the z-domain using bilinear transform (A.1.2): H(z) = K3 b33 z2 + b32 z2 + b31 z1 + 1 a33 z3 + a32 z2 + a31 z1 + 1

(A.1.2)

with K3 =

1 , k33 + k32 + k31 + 1 2 TS


3

k33 = k33

k32 = k32

2 TS

k31 = k31

2 TS

a33 = K3 (k33 + k32 k31 + 1) , a32 = 3K3 (k33 k32 k31 + 3) , a31 = K3 (3k33 k32 + k31 + 3) and b33 = 1, b32 = b31 = 3 The sensitivity of the resulting direct form lter to coefcient truncation and quantization errors is not a problem as the VHDL model utilizes oating point arithmetics. The following listing shows the basic implementation of the DT loop lter model (A.1.2) in VHDL:
c o n s t a n t TS : r e a l : = r e a l ( TS_2 / f s ) 5 . 0 e 16; 1 / 2 s a m p l i n g i n t e r v a l

c o n s t a n t TSS : r e a l : = TS TS ; TS 2 c o n s t a n t TSSS : r e a l : = TS TS TS ; TS 3 t h i r d o r d e r f i l t e r c o n s t a n t s c o n s t a n t k33 : r e a l : = C1 C2 C3 R1 R2 R3 / TSSS ; c o n s t a n t k32 : r e a l : = ( C1C2R1R2+C1C3R1R2+C1C3R1R3 + C2C3R1R3 + C2C3R2R3 ) / TSS ; c o n s t a n t k31 : r e a l : = ( R1C2+R2C2+R1C3+R2C3+R3C3+R1C1 ) / TS ; c o n s t a n t K3 : r e a l : = 1 . 0 / ( k33 + k32 + k31 + 1 . 0 ) ; c o n s t a n t a33 : r e a l : = ( k33 + k32 k31 + 1 . 0 ) K3 ; c o n s t a n t a32 : r e a l : = ( 3 . 0 k33 k32 k31 + 3 . 0 ) K3 ; c o n s t a n t a31 : r e a l : = ( 3.0 k33 k32 + k31 + 3 . 0 ) K3 ; c o n s t a n t b33 : r e a l : = 1 . 0 ;

Christian Mnker

March 10, 2010

A.1. Loop Filter


c o n s t a n t b32 : r e a l : = 3 . 0 ; c o n s t a n t b31 : r e a l : = 3 . 0 ; LF : p r o c e s s ( s _ c l k ) begin i f s_clk e v e n t then T h i r d Order F i l t e r mem2 ; mem1 ; CPval K3 a31 mem1 a32 mem2 a33 mem3 ; CPval K3 a31 mem1 a32 mem2 a33 mem3 + b31 mem1 + b32 mem2 + b33 mem3 ; end i f ; e v e n t end p r o c e s s LF ; mem3 mem2 mem1 vtune <= <= <= <=

185

The lter calculation is performed in the process LF each time the lter clock signal s_clk changes (s_clkevent), i.e. twice per clock period. This clock is dened in another autonomous process (not shown here) with an arbitrary frequency that should be 10x ... 20x higher than the maximum input frequency to achieve a reasonable accuracy of the lter characteristic without slowing down simulation too much. CPval is the state of the lter input, delivered by the charge-pump (current multiplied with the input resistor of the lter), it is calculated in yet another process (also not shown). mem1 etc. correspond to the registers (z1 in the block diagrams). The beat frequency effect between the phase detector switching and the lter clock is eliminated by the method described in section 4.5.2 in process LF_fr where the timing error between the last switching event of the phase detector / charge pump and the next sampling clock event is translated into a fractional lter input, i.e. the limited timing resolution of the sampled lter is exchanged for its nearly analog amplitude resolution. In process LF_fr, the time between the last switching of the phase detector / charge pump and the next sampling clock event is tracked and related to the sampling period (fractional period). The input value for the current lter cycle is then scaled with this value.
Loop f i l t e r model w i t h c o r r e c t i o n o f s a m p l i n g e r r o r L F _ f r : p r o c e s s ( s _ c l k , CP_i ) variable TS_frac_v : r e a l ; f r a c t i o n a l t i m e s t e p :

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

186

A. VHDL Behavioral Models


e v e n t d e l t a t i m e r e l . t o s a m p l i n g p e r i o d

variable T_last_v : time ; t i m e o f l a s t c a l c u l a t i o n variable f r a cf l a g : b o o l e a n ; f r a c t i o n a l c y c l e ? v a r i a b l e f r a c f l a g _ d : b o o l e a n ; p r e v . f r a c t i o n a l c y c l e ? begin i f s _ c l k e v e n t or CP_i e v e n t t h e n i f ( now T _ l a s t _ v < TS_2t ) t h e n " f r a c t i o n a l " c y c l e : t i m e s i n c e l a s t e v e n t i s l e s s t h a n TS : T s _ f r a c _ v : = r e a l ( ( now T _ l a s t _ v ) / f s ) / r e a l ( ( TS_2t ) / f s ) ; fracflag := t r u e ; i f CP_i = 0 t h e n CP j u s t s w i t c h e d o f f CPval <= T s _ f r a c _ v CP_DC_c ; else CP j u s t s w i t c h e d on CPval <= ( 1 . 0 T s _ f r a c _ v ) CP_DC_c ; end i f ; CP_i else n o r m a l c y c l e i f CP_i = 1 t h e n CPval <= CP_DC_c ; else CPval <= 0 . 0 ; end i f ; CP_i f r a c f l a g _ d := f r a c f l a g ; s t o r e l a s t f r a c f l a g fracflag := f a l s e ; r e s e t f r a c f l a g end i f ; t i m e s t e p i f not f r a c f l a g _ d then T _ l a s t _ v : = NOW; don t s t o r e t i m e s t e p i f t h e l a s t one was a f r a c t i o n a l one o t h e r w i s e t h i s c y c l e would be c a l c u l a t e d t w i c e . . . end i f ; i f n o t f r a c f l a g end i f ; e v e n t end p r o c e s s L F _ f r ;

A.2. Voltage Controlled Oscillator


B e h a v i o r a l VCO model ( e x c e r p t ) ... b e g i n p r o c e s s FREQ_GEN v c o _ o u t <= 0 ; p e r i o d _ t <= 300 p s ; p e r i o d _ e r r _ v := 0 . 0 ;

Christian Mnker

March 10, 2010

A.3. Random Number Generator


VCOLoop : l o o p <= t r a n s p o r t 1 a f t e r VCODelay , 0 a f t e r p e r i o d _ t / 2 + VCODelay ; wait for p e r i o d _ t ; period_v := 1 . 0 / ( ( f _ 0 + kvco v t u n e _ i ) 1 . 0 e 15) + period_err_v ; p e r i o d i n f s vco_out

187

p e r i o d _ t <= ( p e r i o d _ v ) f s ;

c a l c u l a t e t r u n c a t i o n e r r o r : p e r i o d _ e r r _ v := period_v r e a l ( ( period_v f s ) / f s ) ; c a l c u l a t e d e v i a t i o n f r o m t a r g e t f r e q u e n c y : delta_f <= 1 . 0 e15 / p e r i o d _ i d _ v f _ t a r g ; end l o o p VCOLoop ; end p r o c e s s FREQ_GEN ; vco_o <= v c o _ o u t ;

A.3. Random Number Generator


A random number source with Gaussian distribution is needed to model random processes in VHDL. A simple modulus arithmetic algorithm [Jai91, p. 443], suitable for 32 bit integer arithmetic, produces a uniformly distributed pseudorandom sequence with a length of 231 2: x[n] = 75 x[n 1] mod (231 1) (A.3.1)

Two uncorrelated uniform processes x1 (n), x2 (n) are transformed into two uncorrelated Gaussian processes xn,1 [n], xn,2 [n] using the approach described in [PM92, p. 944]. First, one of the processes is transformed into a random process xR,1 (n) with Rayleigh distribution: 1 1 x1 [n]

xR,1 [n] =

2 log

(A.3.2)

From xR,1 [n] and x2 [n], two processes with Gaussian (normal) distribution (m = 0, = 1) can be derived:

xn,1 [n] = xR,1 [n] cos(2x2 [n]) xn,2 [n] = xR,1 [n] sin(2x2 [n])

(A.3.3) (A.3.4)

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

188

A. VHDL Behavioral Models

The average value and standard deviation of xn,1 [n], xn,2 [n] are easily adapted by adding an offset m resp. scaling with a factor .

Christian Mnker

March 10, 2010

Bibliography
[ABM+ 09] A. Asquini, A. Bounceur, S. Mir, F. Badets, J.-L. Carbonero, and L. Bouzaida, DfT technique for RF PLLs using built-in monitors, Intl. Conf. on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 2009, pp. 210215. S. Akbay and A. Chatterjee, Feature extraction based built-in alternate test of RF components using a noise reference, Proc. IEEE VLSI Test Symp. (VTS), 2004, pp. 273278. Agilent, Spectrum analysis basics, Application Note 150, Aug. 2006, Application Note 150. K. Arabi and B. Kaminska, Testing analog and mixed-signal integrated circuits using oscillation-test method, IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems 16 (1997), no. 7, 745753. S. Abdennadher and S. Shaikh, Practices in mixed-signal and RF IC testing, IEEE Des. Test. Comput. 24 (2007), no. 4, 332339. W. Bax, Modulation and frequency synthesis for wireless digital radio, Ph.D. thesis, Ottawa-Carleton Institute of Electrical Engineering, Department of Electronics, Carleton University, Ottawa, Canada, Oct. 1999. R. Beards and M. Copeland, An oversampling Delta-Sigma frequency discriminator, IEEE Trans. Circuits Syst. II 41 (1994), no. 1, 2632. W. Bax, M. Copeland, and T. Riley, A single-loop second-order frequency discriminator, IEEE-CAS Region 8 Workshop on Analog and Mixed IC Design, 1996, pp. 2631. I. Bayraktaroglu, O. Caty, and Y. Wong, Highly congurable programmable built-in self test architecture for high-speed memories, Proc. IEEE VLSI Test Symp. (VTS), 2005, pp. 2126.

[AC04]

[Agi06] [AK97]

[AS07] [Bax99]

[BC94]

[BCR96]

[BCW05]

189

190 [Ber05] [Bes98] [BHH+ 06]

Bibliography
L. Berlin, The man behind the microchip: Robert noyce and the invention of silicon valley, Oxford University Press, 2005. R. Best, Theorie und Anwendungen des Phase-Locked Loop, AT Verlag, Aarau / Stuttgart, 1998. P.-H. Bonnaud, M. Hammes, A. Hanke, J. Kissing, R. Koch, E. Labarre, and C. Schwoerer, A fully integrated SoC for GSM/GPRS in 0.13 m CMOS, IEEE Intl. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, 2006. M. Burbidge, A. Lechner, G. Bell, and A. Richardson, Motivations towards BIST and DfT for embedded charge-pump phase-locked loop frequency synthesisers, IEE Proc.-Circuits Devices Syst., 151 (2004), no. 4, 337348. Bronstein and Semendjajew, Taschenbuch der Mathematik, 21st ed., Verlag Harri Deutsch, Thun, 1981. J. C. Candy, Decimation for sigma delta modulation, IEEE Trans. Commun. 34 (1986), no. 1, 7276. J. C. Candy and O. J. Benjamin, The structure of quantization noise from Sigma-Delta modulation, IEEE Trans. Commun. COM-29 (1981), no. 1, 13161323. M. Chandramouli, How to implement deterministic logic built-in self test (BIST), Compiler, Synopsys Online Magazine 2 (2003), no. 1. T. Cho, D. Kang, C.-H. Heng, and B. S. Song, A 2.4-GHz dualmode 0.18- m CMOS transceiver for Bluetooth and 802.11b, IEEE J. Solid-State Circuits 39 (2004), no. 11, 19161926. P. Callahan, E. Kimball, V. Telang, and M. Milisavljevic, How systems level considerations impact cost effective Gigabit Ethernet PHYs, Tech. report, EETimes, July 2002. M. Cimino, H. Lapuyade, M. D. Matos, T. Taris, Y. Deval, and J.B. Bgueret, A sub 1V CMOS LNA dedicated to 802.11b/g applications with self-test & high reliability capabilities., IEEE Radio Frequency Integrated Circuits (RFIC) Symp. Dig. of Papers, 2007, pp. 343346. B. Casper, A. Martin, J. Jaussi, J. Kennedy, and R. Mooney, An

[BLBR04]

[BS81] [Can86] [CB81]

[Cha03]

[CKHS04]

[CKTM02]

[CLM+ 07]

[CMJ+ 03]

Christian Mnker

March 10, 2010

Bibliography

191 8-Gb/s simultaneous bidirectional link with on-die waveform capture, IEEE J. Solid-State Circuits 38 (2003), no. 12, 21112120.

[CNN06] [CR04]

CNN, Chicken and egg debate unscrambled, http://www.cnn. com/2006/TECH/science/05/26/chicken.egg, May 2006. A. Chan and G. Roberts, A jitter characterization system using a component-invariant vernier delay line, Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 12 (2004), no. 1, 7995. J. C. Candy and G. C. Temes (eds.), Oversampling Delta-Sigma data converters, IEEE Press, 1992. M. Christensen and F. J. Taylor, Fixed-point-IIR-lter challenges, EDN (2006), 111122.

[CT92] [CT06]

[DDHSW01] N. Da Dalt, M. Harteneck, C. Sandner, and A. Wiesbauer, Numerical modeling of PLL jitter and the impact of its non-white spectrum on the SNR of sampled signals, Proc. IEEE Southwest Symp. on Mixed-Signal Design (SSMSD), 2001, pp. 3844. [Dor92] [DR99] R. C. Dorf, Modern control theory, 6th ed., Addison-Wesley Publishing, Reading, Mass., 1992. B. Dufort and G. Roberts, On-chip analog signal generation for mixed-signal built-in self-test, IEEE J. Solid-State Circuits 34 (1999), no. 3, 318330. O. Eliezer, I. Bashir, R. B. Staszewski, and P. T. Balsara, Built-in self testing of a DRP-based GSM transmitter, IEEE Radio Frequency Integrated Circuits (RFIC) Symp. Dig. of Papers, 2007, pp. 339342. A. Fettweis, Wave digital lters: Theory and practice, Proc. of the IEEE 74 (1986), no. 2, 270327. A. Fettweis, Alfred Fettweis, Electrical Engineer, an oral history conducted in 1997 by Frederik Nebeker, IEEE History Center, Rutgers University, New Brunswick, NJ, USA., 1997. E. S. Fetzer, Method and circuit for measuring on-chip, cycle-tocycle clock jitter, Patent US6841985, US Patent 6841985, Jan. 11 2005, Hewlett-Packard. J. Ferrario, R. Wolf, and S. Moss, Architecting millisecond test

[EBSB07]

[Fet86] [Fet97]

[Fet05]

[FWM03]

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

192

Bibliography
solutions for wireless phone RFICs, Proc. IEEE Intl. Test Conf. (ITC), vol. 1, 2003, pp. 13251332.

[Gal93]

I. Galton, Granular quantization noise in the rst-order DeltaSigma modulator, IEEE Trans. Inform. Theory 39 (1993), no. 6, 19441956. F. M. Gardener, Phaselock techniques, John Wiley and Sons, New York, 1979, The PLL bible, but no CPs. E. Gtz, H. Krbel, G. Mrzinger, B. Memmler, C. Mnker, B. Neurauter, D. Rmer, J. Rubach, W. Schelmbauer, M. Scholz, M. Simon, U. Steinacker, and C. Stger, A quad-band low power single chip direct conversion CMOS transceiver with modulation loop for GSM, Proc. European Solid-State Circuits Conf. (ESSCIRC) (Portugal), Sep. 2003, pp. 217220. R. Gregorian, K. Martin, and G. Temes, Switched-capacitor circuit design, Proc. of the IEEE 71 (1983), no. 8, 941966. A. Gopalan, Built-in self-test of RF front-end circuitry, Ph.D. thesis, Rochester Institute of Technology, 2005. V. Glckel, M. Pronath, and H. Grb, Deterministischer parametrischer Testentwurf fr analoge integrierte Schaltungen mit Testbeobachtungen unter Anwendung von Ergebnissen aus dem Toleranzentwurf, Proc. of the ITG / GMM Workshop "Testmethods and Reliability of Circuits and Systems", vol. 13, Feb. 2001. A. Giani, S. Sheng, M. Hsiao, and V. D. Agrawal, Efcient spectral techniques for sequential ATPG, Proc. Design, Automation and Test in Europe (DATE) Conf., 2001, pp. 204208. F. Harris, On the use of windows for harmonic analysis with the discrete Fourier transform, Proc. of the IEEE 66 (1978), no. 1, 5183. B. Hosticka, W. Brockherde, U. Kleine, and G. Zimmer, Switchedcapacitor FSK modulator and demodulator in CMOS technology, IEEE J. Solid-State Circuits 19 (1984), no. 3, 389396. E. Hogenauer, An economical class of digital lters for decimation and interpolation, IEEE Trans. Acoust., Speech, Signal Processing 29 (1981), no. 2, 155162.

[Gar79] [GKM+ 03]

[GMT83] [Gop05] [GPG01]

[GSHA01]

[Har78]

[HBKZ84]

[Hog81]

Christian Mnker

March 10, 2010

Bibliography
[HR98]

193 E. Hawrysh and G. Roberts, An integration of memory-based analog signal generation into current DFT architectures, IEEE Trans. Instrum. Meas. 47 (1998), no. 3, 748759. J.-C. Hsu and C. Su, BIST for measuring clock jitter of chargepump phase-locked loops, IEEE Trans. Instrum. Meas. 57 (2008), no. 2, 276285. IEEE STD 1139-2008 standard denitions of physical quantities for fundamental frequency and time metrologyrandom instabilities, 2008. International Roadmap Roadmap for Semiconductors 2005 Edition, Section: Test and test equipment, http://www.itrs.net, 2005. R. Jain, The art of computer systems performance analysis, John Wiley and Sons, 1991. Joint Committee for Guides in Metrology, International vocabulary of metrology Basic and general concepts and associated terms (VIM), 3rd ed., Bureau International des Poids et Mesures, www.bipm.org/utils/common/documents/jcgm/ JCGM_200_2008.pdf, 2008. J. Kalisz, Review of methods for time interval measurements with picosecond resolution, Metrologia 41 (2004), no. 1, 1732. W. Khalil, B. Bakkaloglu, and S. Kiaei, A self-calibrated on-chip phase-noise measurement circuit with -75 dbc single-tone sensitivity at 100 khz offset, IEEE J. Solid-State Circuits 42 (2007), no. 12, 27582765. R. Kheriji, V. Danelon, J. Carbonero, and S. Mir, Optimising test sets for RF components with a defect-oriented approach, Proc. Symp. on Integrated Circuits and Systems Design (SBCCI), 2004, pp. 400403. S. Khorram, H. Darabi, Z. Zhou, Q. Li, B. Marholev, J. Chiu, J. Castaneda, H.-M. Chien, S. Anand, S. Wu, M.-A. Pan, R. Roofougaran, H. J. Kim, P. Lettieri, B. Ibrahim, J. Rael, L. Tran, E. Geronaga, H. Yeh, T. Frost, J. Trachewsky, and A. Rofougaran, A fully integrated SOC for 802.11b in 0.18- m CMOS, IEEE J. Solid-State Circuits 40 (2005), no. 12, 24922501. W. Kester (ed.), Data conversion handbook, Newnes, 2005,

[HS08]

[IEE08]

[Int05] [Jai91] [Joi08]

[Kal04] [KBK07]

[KDCM04]

[KDZ+ 05]

[Kes05]

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

194

Bibliography
http://www.analog.com/library/analogDialogue/ archives/39-06/data_conversion_handbook.html.

[Kin73]

N. G. Kingsbury, Second-order recursive lter elements for poles near the unit circle and the real axis, Electronics Letters 8 (1973), no. 6, 271273. K.-D. Kammeyer and K. Kroschel, Digitale signalverarbeitung, Teubner Verlag, Wiesbaden , Germany, 2006. B. Konemann, J. Mucha, and G. Zwiehoff, Built-in logic block observation technique, Proc. IEEE Intl. Test Conf. (ITC), Oct. 1979, pp. 3741. S. Kim, M. Soma, and D. Risbud, An effective defect-oriented BIST architecture for high-speed phase-locked loops, Proc. IEEE VLSI Test Symp. (VTS), no. 18, 2000, pp. 231236. K. Kundert, Modeling jitter in PLL-based frequency synthesizers, http://www.designers-guide.org/Analysis/ PLLjitter.pdf, Sep. 2005. J. Layole, Modelling and simulation of a PLL with jitter sources using SystemC, Masters thesis, Institute for Technical Electronics, Munich University of Technology, 2005. T. H. Lee, The design of CMOS radio-frequency integrated circuits, Cambridge University Press, Cambridge, UK, 1998. T. Lee and A. Hajimiri, Oscillator phase noise: a tutorial, IEEE J. Solid-State Circuits 35 (2000), no. 3, 326336. H. Li, BIST (Built-In Self-Test) strategy for mixed-signal integrated circuits, Ph.D. thesis, Technische Fakultt der Universitt Erlangen-Nrnberg, Erlangen, 2004, Prof. Dr. Robert Weigel. J. Lillington, Wideband spectrum analysis using advanced DSP techniques, Electronics World 111 (2005), no. 1828, 1824. M. Lubaszewski, S. Mir, and L. Pulz, ABILBO: Analog BuILt-in Block Observer, IEEE/ACM Intl. Conf. on Computer-Aided Design (ICCAD) Dig. Tech. Papers, 1996, pp. 600603. A. Lu and G. Roberts, An oversampling-based analog multitone signal generator, IEEE Trans. Circuits Syst. II 45 (1998), no. 3, 391394.

[KK06] [KMZ79]

[KSR00]

[Kun05]

[Lay05]

[Lee98] [LH00] [Li04]

[Lil05] [LMP96]

[LR98]

Christian Mnker

March 10, 2010

Bibliography
[LRJ94]

195 A. Lu, G. Roberts, and D. Johns, A high-quality analog oscillator using oversampling D/A conversion techniques, IEEE Trans. Circuits Syst. II 41 (1994), no. 7, 437444. S. Levantino, L. Roman, S. Pellerano, C. Samori, and A. L. Lacaita, Phase noise in digital frequency dividers, IEEE J. SolidState Circuits 39 (2004), 775784. H.-D. Lke, Signalbertragung, 3rd ed., Springer Verlag, Berlin, 1985, General Communication Theory, Fourier Transformation, PM / FM modulation. R. Lyons, IIR lter question, http://www.dsprelated.com/ showmessage/55463/1.php, April 2006, Newsgroup discussion. G. Mrzinger, Neuartige digitale Modulationsarchitektur mit hoher spektraler Efzienz fr Mobilfunkanwendungen, Ph.D. thesis, Johannes Kepler Universitt Linz, 2000. A. Medury, I. Carlson, A. Alvandpour, and J. Stensby, Structural fault diagnosis in charge-pump based phase-locked loops, Proc. Intl. Conf. on VLSI Design (VLSID), 2005, pp. 842845. U. Meyer-Baese, Digital Signal Processing with Field Programmable Gate Arrays, 3 ed., Springer, Berlin, 2007. L. Milor, A tutorial introduction to research on analog and mixedsignal circuit testing, IEEE Trans. Circuits Syst. II 45 (1998), no. 10, 13891407.

[LRP+ 04]

[Lk85]

[Lyo06]

[Mr00]

[MCAS05]

[Mey07] [Mil98]

[MKNM05] C. Mnker, B.-U. Klepser, B. Neurauter, and C. Mayer, Digital RF CMOS transceivers for GPRS and EDGE, IEEE Radio Frequency Integrated Circuits (RFIC) Symp. Dig. of Papers, 2005, pp. 265 268. [MLS+ 04] K. Muhammad, D. Leipold, B. Staszewski, Y.-C. Ho, C. Hung, K. Maggio, C. Fernando, T. Jung, J. Wallberg, J.-S. Koh, S. John, I. Deng, O. Moreira, R. Staszewski, R. Katz, and O. Friedman, A discrete-time Bluetooth receiver in a 0.13 m digital CMOS process, IEEE Intl. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, vol. 1, 2004, pp. 268269.

[MMNV04] C. Mnker, G. Mrzinger, B. Neurauter, and R. Vuketich, Phasenregelkreis und Verfahren zur Phasenkorrektur eines frequenzsteuerbaren Oszillators, DPMA patent, DE102004014204, 2004.

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

196 [MNM+ 05]

Bibliography
C. Mayer, B. Neurauter, G. Mrzinger, C. Mnker, and R. Hagelauer, A robust GSM / EDGE transmitter using polar modulation techniques, Proc. European Microwave Week (EuMW) (Paris, France), vol. 35, October 2005. G. Moore, No exponential is forever: But "forever" can be delayed!, IEEE Intl. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, vol. 1, 2003, pp. 2023. K. Martin and A. Sedra, Design of signal ow graph (SFG) active lters, IEEE Trans. Circuits Syst. 25 (1978), no. 4, 185195. K. Martin and M. Sun, Adaptive lters suitable for real-time spectral analysis, IEEE J. Solid-State Circuits 21 (1986), no. 1, 108 119. D. McMahill and C. Sodini, A 2.5-Mb/s GFSK 5.0-Mb/s 4-FSK automatically calibrated frequency synthesizer, IEEE J. SolidState Circuits 37 (2002), no. 1, 1826. C. Mnker and M. Scholz, Phasenregelkreis mit Pulsgenerator und Verfahren zum Betrieb des Phasenregelkreises, DPMA and WIPO patent application, DE10255099, 2002. , Phasenregelanordnung, DPMA patent application, DE10308643A1, Feb. 27 2003. , Detection arrangement, counter unit, phase locked loop, detection method and method for generating an oscillator signal, US patent application, US020080191921A1, Feb. 27 2007. C. Mnker, M. Scholz, G. Mrzinger, and E. Gtz, Schaltungsanordnung zur Phasenregelung und Verfahren zum Betrieb der Schaltungsanordnung, DPMA patent, DE10255145B4, Nov. 11 2002. C. Mnker, Fast simulation of complex RF mixed-signal systems using standard VHDL, Workshop "Mixed-Signal Design Methodology & Environment" at the RFIC2004 (Fort Worth, USA), Jun. 2004. , A compact multi-tone test generator for RF ICs using a Sigma-Delta PLL, GMM Proceedings "Analog 05 - Entwicklung von Analogschaltungen mit CAE Methoden" [Analog design using CAE methods], vol. 46, VDE Verlag, 2005, pp. 289292.

[Moo03]

[MS78] [MS86]

[MS02a]

[MS02b]

[MS03] [MS07]

[MSMG02]

[Mn04]

[Mn05]

Christian Mnker

March 10, 2010

Bibliography
[MW06]

197 C. Mnker and R. Weigel, RF built-in self-test for integrated cellular transmitters, GMM Proceedings "Analog 06 - Entwicklung von Analogschaltungen mit CAE Methoden" [Analog design using CAE methods] (Dresden, Germany), no. 196, Sep. 2006, pp. 209 214. , Spectral PLL built-in self-test for integrated cellular transceivers, Proc. European Solid-State Circuits Conf. (ESSCIRC) (Munich, Germany), Sep. 2007, pp. 476479. M. J. Ohletz, Hybrid built-in self-test (HBIST) for mixed analog/digital integrated circuits, Proc. European Test Conf. (ETC), 1991, pp. 307316. G. Peceli, A common structure for recursive discrete transforms, IEEE Trans. Circuits Syst. 33 (1986), no. 10, 10351036. , Sensitivity properties of resonator-based digital lters, IEEE Trans. Circuits Syst. 35 (1988), no. 9, 1195 1197. , Resonator-based digital lters, IEEE Trans. Circuits Syst. 36 (1989), no. 1, 156159. M. H. Perrott, Techniques for high data rate modulation and low power operation of fractional-N frequency synthesizers, Ph.D. thesis, Massachusetts Institute of Technology, 1997. M. Planat (ed.), Noise, oscillators and algebraic randomness, Lecture Notes in Physics, Springer Berlin / Heidelberg, 2000, From Noise in Communication Systems to Number Theory, Lectures of a School Held in Chapelle des Bois, France, April 510, 1999. M. Padmanabhan and K. Martin, Resonator-based lter-banks for frequency-domain applications, IEEE Trans. Circuits Syst. 38 (1991), no. 10, 11451159. J. G. Proakis and D. G. Manolakis, Digital signal processing: Principles, algorithms, and applications, 2nd ed., Macmillan Publishing Company, New York, 1992. M. Padmanabhan and K. Martin, Filter banks for time-recursive implementation of transforms, IEEE Trans. Circuits Syst. II 40 (1993), no. 1, 4150. Z. Plva and O. Novk, Scan based circuits with low power consumption, Proc. Design and Diagnostics of Electronic Systems

[MW07]

[Ohl91]

[Pec86] [Pec88] [Pec89] [Per97]

[Pla00]

[PM91]

[PM92]

[PM93]

[PN03]

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

198

Bibliography
and Circuits (DDESC) Workshop (Poznan, Poland), Apr. 2003, pp. 267232.

[PTS97]

M. Perrott, I. Tewksbury, T.L., and C. Sodini, A 27-mW CMOS fractional-N synthesizer using digital compensation for 2.5-Mb/s GFSK modulation, IEEE J. Solid-State Circuits 32 (1997), no. 12, 20482060. J. Rael and A. Abidi, Physical processes of phase noise in differential LC oscillators, Proc. IEEE Custom Integrated Circuits Conf. (CICC), 2000, pp. 569572. M. Renovell, F. Azais, and Y. Bertrand, On-chip analog output response compaction, Proc. European Design and Test Conf. (ED&TC), 1997, pp. 568572. E. Rosenfeld, A method of jitter measurement, Proc. IEEE Intl. Test Conf. (ITC), 1992, pp. 788794. M. Ramezani and C. Salama, An improved bang-bang phase detector for clock and data recovery applications, Proc. IEEE Intl. Symp. on Circuits and Systems (ISCAS), vol. 1, 2001, pp. 715 718. B. Schottstaedt, An introduction to FM, http://ccrma. stanford.edu/software/snd/snd/fm.html. S. Sengupta, S. S. Chakravarty, P. Parvathala, R. Galivanche, G. Kosonocky, M. Rodgers, and T. Mak, Defect-based test: A key enabler for successful migration to structural test, Intel Technology Journal 3 (1999), no. 1, 114. R. Staszewski, C. Fernando, and P. Balsara, Event-driven simulation and modeling of phase noise of an RF oscillator, IEEE Trans. Circuits Syst. I 52 (2005), no. 4, 723733. J. Segura and C. F. Hawkins, CMOS electronics: How it works, how it fails, John Wiley and Sons, Inc., 2004. M. Slamani and B. Kaminska, T-BIST: A built-in self-test for analog circuits based on parameter translation, Proc. Asian Test Symp. (ATS), 1993, pp. 172177. G. Stoyanov and M. Kawamata, Variable digital lters, J. Signal Processing 1 (1997), no. 4, 275289.

[RA00]

[RAB97]

[Ros92] [RS01]

[Sch] [SCP+ 99]

[SFB05]

[SH04] [SK93]

[SK97]

Christian Mnker

March 10, 2010

Bibliography
[Smi05] [Smi07] [SML+ 04]

199 J. O. Smith, Introduction to digital lters, http://ccrma. stanford.edu/~jos/filters05/, Sep. 2005, Draft. , Spectral audio signal processing, http://ccrma. stanford.edu/~jos/sasp/, Mar. 2007, Draft. R. Staszewski, K. Muhammad, D. Leipold, C.-M. Hung, Y.C. Ho, J. Wallberg, C. Fernando, K. Maggio, R. Staszewski, T. Jung, J. Koh, S. John, I. Y. Deng, V. Sarda, O. Moreira-Tamayo, V. Mayega, R. Katz, O. Friedman, O. Eliezer, E. de Obaldia, and P. Balsara, All-digital TX frequency synthesizer and discrete-time receiver for Bluetooth radio in 130-nm CMOS, IEEE J. Solid-State Circuits 39 (2004), no. 12, 22782291. S. Sattler, D. Oberle, and J. Eckmller, PLL built-in self-test jitter measurement integration into 0.18 CMOS technology, Proc. Test Methods and Reliability of Circuits and Systems Workshop (Miesbach, Germany), Feb. 2001, pp. 4347. M. Straayer and M. Perrott, A multi-path gated ring oscillator TDC with rst-order noise shaping, Solid-State Circuits, IEEE Journal of 44 (2009), no. 4, 10891098. S. Sunter and A. Roy, BIST for phase-locked loops in digital applications, Proc. IEEE Intl. Test Conf. (ITC), 1999, pp. 532540. S. K. Sunter and A. P. J. Roy, Method and circuit for built in self test of phase locked loops, Tech. report, US Patent 6396889, May 28 2002. , Circuit and method for measuring jitter of high speed signals, Tech. report, US Patent 7158899, Jan. 2 2007. M. Swamy and K. Thyagarajan, Digital bandpass and bandstop lters with variable center frequency and bandwidth, Proc. of the IEEE 64 (1976), no. 11, 16321634. K. Steiglitz, A note on constant-gain digital resonators, Computer Music Journal 18 (1994), 810. Y.-R. Sheh and C.-W. Wu, Control and observation structures for analog circuits, IEEE Des. Test. Comput. 15 (1998), no. 2, 5664. M. Terrovitis, Simulating the phase noise contribution of the divider in a phase lock loop, Tech. report, Designers Guide Commu-

[SOE01]

[SP09]

[SR99] [SR02]

[SR07] [ST76]

[Ste94] [SW98] [Ter05]

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

200

Bibliography
nity, www.designers-guide.org/Analysis/PLLjitter.pdf, September 2005.

[TGS+ 09]

A. Tchegho, H. Grb, U. Schlichtmann, H. Mattes, and S. Sattler, Analyse und Untersuchung der Quantisierungseffekte beim Goertzel-Filter, Adv. Radio Sci. 7 (2009), 7381. L. Turner and B. Ramesh, Low sensitivity digital LDI ladder lters with elliptic magnitude response, IEEE Trans. Circuits Syst. 33 (1986), no. 7, 697706. M. Toner and G. Roberts, A BIST scheme for an SNR test of a Sigma-Delta ADC, Proc. IEEE Intl. Test Conf. (ITC), 1993, pp. 805814. , Towards built-in self-test for SNR testing of a mixedsignal IC, Proc. IEEE Intl. Symp. on Circuits and Systems (ISCAS), 1993, pp. 15991602 vol.3. , A BIST scheme for a SNR, gain tracking, and frequency response test of a Sigma-Delta ADC, IEEE Trans. Circuits Syst. II 42 (1995), no. 1, 115. , On the practical implementation of mixed analog-digital BIST, Proc. IEEE Custom Integrated Circuits Conf. (CICC), 1995, pp. 525528. , A frequency response, harmonic distortion, and intermodulation distortion test for BIST of a Sigma-Delta ADC, IEEE Trans. Circuits Syst. II 43 (1996), no. 8, 608613. R. R. Tummala, Moores law meets its match, IEEE Spectr. 6 (2006), 3843. P. N. Variyam and H. Balachandran, All digital built-in self-test circuit for phase-locked loops, Patent, US Patent 6661266, Dec. 9 2003. B. Van Der Pol, Frequency modulation, Proc. of the IRE 18 (1930), no. 7, 11941205. R. van der Plassche, Integrated analog-to-digital and digital-toanalog converters, Kluwer Academic, Boston, 1994. C. Vaucher, I. Ferencic, M. Locher, S. Sedvallson, U. Voegeli, and Z. Wang, A family of low-power truly modular programmable

[TR86]

[TR93a]

[TR93b]

[TR95a]

[TR95b]

[TR96]

[Tum06] [VB03]

[VDP30] [vdP94] [VFL+ 00]

Christian Mnker

March 10, 2010

Bibliography

201 dividers in standard 0.35- m CMOS technology, IEEE J. SolidState Circuits 35 (2000), no. 7, 10391045.

[VGKB+ 07] A. Valdes-Garcia, W. Khalil, B. Bakkaloglu, J. Silva-Martinez, and E. Sanchez-Sinencio, Built-in self test of RF transceiver SoCs: from signal chain to RF synthesizers, IEEE Radio Frequency Integrated Circuits (RFIC) Symp. Dig. of Papers, 2007, pp. 335338. [Vid05] [Wel67] J. Vidkjaer, Class Notes Course 31415 RF-Communication Circuits, Technical University of Denmark, 2005. P. Welch, The use of fast Fourier transform for the estimation of power spectra: A method based on time averaging over short, modied periodograms, IEEE Trans. Audio Electroacoust. 15 (1967), no. 2, 7073. C.-L. Wey, Built-in self-test (BIST) structure for analog circuit fault diagnosis, IEEE Trans. Instrum. Meas. 39 (1990), no. 3, 517 521. M.-T. Wong, On the issues of oscillation test methodology, IEEE Trans. Instrum. Meas. 49 (2000), no. 2, 240245. L. Wurtz, Built-in self-test structure for mixed-mode circuits, IEEE Trans. Instrum. Meas. 42 (1993), no. 1, 2529. G. Yu and P. Li, A methodology for systematic built-in self-test of phase-locked loops targeting at parametric failures, Proc. IEEE Intl. Test Conf. (ITC), 2007, pp. 110. J. Yuan and C. Svensson, High-speed CMOS circuit technique, IEEE J. Solid-State Circuits 24 (1989), no. 1, 6270. U. Zlzer and T. Boltze, Parametric digital lter structures, Proc. 99th Audio Engineering Society (AES) Convention, Sep. 1995. C. Zierhofer, A multiplier-free digital sinusoid generator based on Sigma-Delta modulation, IEEE Trans. Circuits Syst. II 43 (1996), no. 5, 387396. U. Zlzer, Digitale Audiosignalverarbeitung, 3rd ed., Teubner, Wiesbaden, Germany, 2005.

[Wey90]

[Won00] [Wur93] [YL07]

[YS89] [ZB95] [Zie96]

[Zl05]

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

202

Bibliography

Christian Mnker

March 10, 2010

List of Figures
1.1. 1.2. 1.3. 1.4. 1.5. 1.6. 2.1. 2.2. 2.3. 2.4. 2.5. 2.6. 2.7. 2.8. 2.9. 2.10. 2.11. 2.12. 2.13. 2.14. 2.15. 2.16. 2.17. 2.18. 2.19. 2.20. 2.21. 2.22. 2.23. 2.24. 2.25. 2.26. Overview over DfT techniques . . . . . . . . Scan structure . . . . . . . . . . . . . . . . . Scan ip-op with test-logic overhead . . . . Principle of digital BIST . . . . . . . . . . . PLL BIST for measuring cycle-to-cycle jitter On-chip phase noise measurement circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 8 9 14 17 18 28 29 30 33 36 37 38 38 41 43 44 44 44 45 45 46 47 48 49 50 50 50 51 51 53 54

Spectra of narrowband and wideband sinusoidal FM . . . . . One-sided and two-sided spectra . . . . . . . . . . . . . . . Phasors for small-angle PM/FM . . . . . . . . . . . . . . . PSD of phase deviation and frequency deviation . . . . . . . Basic slices of the signal xN . . . . . . . . . . . . . . . . . . Overlapping windowed slices of the signal xN . . . . . . . . Displayed PSD of noise signal . . . . . . . . . . . . . . . . Derivation of phase uctuations from the VCO periods . . . Spectral density of quantization noise . . . . . . . . . . . . Subsampling and frequency translation . . . . . . . . . . . . Delta modulation and demodulation . . . . . . . . . . . . . (Sigma)-Delta modulation signal forms . . . . . . . . . . . Sigma-Delta modulation and demodulation . . . . . . . . . Sigma-Delta modulation (efcient implementation) . . . . . Digital sigma-delta modulator . . . . . . . . . . . . . . . . Model for quantization noise in M bit stream . . . . . . . Signal and noise transfer functions in rst order M . . . . Nyquist rate, oversampling and M converters . . . . . . . SDM noise for DC inputs . . . . . . . . . . . . . . . . . . . Second order multi-loop SDM . . . . . . . . . . . . . . . . Second order multi-loop M with a1 = a2 = 1 . . . . . . . Equivalent second order single-loop SDM . . . . . . . . . . Quantization noise model for second order multi-loop SDM Second order digital M with multistage noise shaping . . Stability region of a second order system . . . . . . . . . . . Poles and zeros contribution . . . . . . . . . . . . . . . .

203

204 2.27. 2.28. 2.29. 2.30. 2.31. 2.32. 2.33. 2.34. 2.35. 2.36. 2.37. 2.38. 2.39. 2.40. 2.41. 2.42. 2.43. 3.1. 3.2. 3.3. 3.4. 3.5. 3.6. 3.7. 3.8. 3.9. 3.10. 4.1. 4.2. 4.3. 4.4. 4.5. 4.6. 4.7. 4.8. 4.9. 4.10.

List of Figures
Calculation of distance from pole . . . . . . . . . . . . . . Frequency response of the two-pole resonator . . . . . . . Frequency response of the two-pole resonator . . . . . . . Constant peak gain resonator . . . . . . . . . . . . . . . . Frequency response of the constant peak-gain biquad . . . Band-pass lter specications . . . . . . . . . . . . . . . Estimation of resonator bandwidth . . . . . . . . . . . . . Direct form resonator . . . . . . . . . . . . . . . . . . . . LDI based resonator . . . . . . . . . . . . . . . . . . . . . Coupled-form resonator . . . . . . . . . . . . . . . . . . . Fixed-point number representation . . . . . . . . . . . . . Types of digital lters . . . . . . . . . . . . . . . . . . . . Type II direct form lter . . . . . . . . . . . . . . . . . . Doubly terminated fourth order ladder LC band-pass lter Second order LC band-pass with SFG and DT simulation . Resonator based lter bank . . . . . . . . . . . . . . . . . Singly terminated LC ladder lter bank . . . . . . . . . . Phase noise and spurious sidebands on the VCO output . . PLL block diagram . . . . . . . . . . . . . . . . . . . . . PLL block diagram - control theory point of view . . . . . Closed loop gain |T ( j )| and noise bandwidth Bn . . . . . Principle of -modulated PLL with predistortion . . . . . Simulation of PLL lock-in with built-in self-calibration . . RMS phase error as a function of the open loop bandwidth Block diagram of quad band GSM transceiver . . . . . . . Power spectral density mask for GSM 900 and DCS 1800 . RMS phase error as a function of the open loop gain error . Spectral PLL BIST Concept . . . . . . . . . . . . Principle of PLL bandwidth measurement . . . . . MADBIST vs. SP-BIST . . . . . . . . . . . . . . Accuracy and Precision . . . . . . . . . . . . . . . Block diagram of Fractional-N PLL . . . . . . . . Simulation setup for CUT and SP-BIST . . . . . . PLL simulation error due to sampled lter model . Principle of fractional compensation . . . . . . . . Simulation of unmodulated PLL tuning voltage . Simulation of PLL spectrum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 56 57 58 59 59 60 61 62 62 63 65 66 67 68 70 71 74 75 75 78 79 80 81 82 83 84

. 88 . 89 . 91 . 92 . 95 . 96 . 96 . 97 . 101 . 102

5.1. Implementations for undamped digital resonators . . . . . . . . 105

Christian Mnker

March 10, 2010

List of Figures
5.2. 5.3. 5.4. 5.5. 5.6. 5.7. 5.8. 5.9. 5.10. 5.11. 6.1. 6.2. 6.3. 6.4. 6.5. 6.6. 6.7. 6.8. 6.9. 6.10. 6.11. 6.12. 6.13. 6.14. 6.15. 6.16. 6.17. 6.18. 6.19. 6.20. 6.21. 6.22. 6.23. 6.24. 6.25. 6.26. 6.27. 6.28. 6.29. 6.30. Oscillator based on analog integrators . . . . . . . . . . . Principle of LDI based oscillator . . . . . . . . . . . . . . SDM attenuator . . . . . . . . . . . . . . . . . . . . . . . LDI oscillator using M attenuator . . . . . . . . . . . . Two-tone LDI oscillator using M attenuator . . . . . . . Spectrum of two-tone signal (parallel output) . . . . . . . Spectrum of two-tone signal (SDM bit stream) . . . . . . . Fractional-N modulator with test tone generation . . . . . Simulated phase spectrum of two-tone modulated PLL . . Single-tone modulation (measured with spectrum analyzer) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

205 106 107 109 110 111 111 112 114 115 116 118 119 119 120 121 123 124 125 126 127 128 130 131 131 132 133 135 136 137 138 138 139 140 141 142 143 145 146 147 147

Principle of analog swept spectrum analyzer . . . . . . . . . Swept-tuned spectrum analysis . . . . . . . . . . . . . . . . Envelope detector . . . . . . . . . . . . . . . . . . . . . . . Phase detector based phase noise measurement . . . . . . . Frequency discriminator based phase noise measurement . . Comparison of M and FD . . . . . . . . . . . . . . . Principle of FD (b), derived from -Frac-N-PLL (a) . . Signals in rst order FD: Transient view . . . . . . . . . Signals in rst order FD: Phase view . . . . . . . . . . . Multi-modulus divider . . . . . . . . . . . . . . . . . . . . Linearized model for multi-modulus divider phase . . . . . . 1st order FD model . . . . . . . . . . . . . . . . . . . . Two-tone spectrum at FD output . . . . . . . . . . . . . Two-tone spectrum at FD output (zoomed in) . . . . . . . 2nd order FD (simplied) . . . . . . . . . . . . . . . . . Swept lter spectrum analyzer . . . . . . . . . . . . . . . . Principle of on-chip spectral PLL analysis . . . . . . . . . . Block diagram of spectral estimation . . . . . . . . . . . . . 2nd order CIC as anti-aliasing lter . . . . . . . . . . . . . . Hogenauer lter . . . . . . . . . . . . . . . . . . . . . . . . Second order downsampling CIC lter with dump and reset . Transfer function and aliasing of downsampling CIC lter . Two-tone spectrum at FD output . . . . . . . . . . . . . Mquantization noise after CIC ltering . . . . . . . . . . Simulated FD output spectrum with CIC ltering . . . . . LDI based resonator . . . . . . . . . . . . . . . . . . . . . . Resonator based lter with constant B or constant Q . . . . . Transfer functions of resonator based lter with constant BW Resonator based bandpass with constant peak gain . . . . . Bandpass gain and scalloping loss of 2nd order resonator . .

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

206

List of Figures
6.31. -3 dB and -60 dB bandwidth of different band-pass lters . . . . 149 6.32. Bandpass gain and scalloping loss of 4th order resonator . . . . 150 7.1. 7.2. 7.3. 7.4. 7.5. 7.6. 7.7. 7.8. 7.9. 7.10. 7.11. 7.12. 7.13. 7.14. 7.15. Frequency of LDI oscillator . . . . . . . . . . . . . . . . . . Amplitude error of LDI based oscillator . . . . . . . . . . . Schematic of FD . . . . . . . . . . . . . . . . . . . . . . Multi-modulus divider made from a chain of 2/3 divider cells High-speed 2/3 divider cell with modulus enable . . . . . . Dynamic high-speed ip-op . . . . . . . . . . . . . . . . . Layout of MMD . . . . . . . . . . . . . . . . . . . . . . . . RF PLL under test with SP-BIST . . . . . . . . . . . . . . . Block diagram of multimode RF transceiver . . . . . . . . . Unmodulated PLL spectrum with and without active FD . Spectrum of two-tone generator (different lter staggering) . Unmodulated PLL spectrum with FD spurious tones . . . Single-tone modulated PLL spectrum . . . . . . . . . . . . Two-tone modulated PLL spectrum (frequency sweep avg.) . Comparison of baseband and RF output response analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 156 157 157 158 159 161 162 163 164 166 168 170 171 172

A.1. Non-Integrating loop lter with charge pump . . . . . . . . . . 183

Christian Mnker

March 10, 2010

List of Tables
2.1. Examples for binary encoding . . . . . . . . . . . . . . . . . . 2.2. Qualitative comparison of digital lter families . . . . . . . . . 64 72

5.1. SNR of two-tone generator depending on bandwidth BW . . . . 113 6.1. 6.2. 6.3. 6.4. 7.1. 7.2. 7.3. 7.4. 7.5. 7.6. Passband droop and alias rejection of CIC lters . . . Resonance frequency for fS,R = 812.5 kHz . . . . . . Bandpass properties at k f = 1 ( fc = 135.4 kHz) . . . Variation of bandpass properties over 10 . . . 200 kHz Frequencies of programmable tones . . . . Amplitudes of programmable tones . . . . . Silicon area of SP-BIST blocks . . . . . . . Reproducibility of SP-BIST measurements . Output frequency response measurements . Programming registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 144 148 150 154 156 160 167 173 177

207

208

List of Tables

Christian Mnker

March 10, 2010

Index
Symbols PLL . . . . . see PLL, Sigma-Delta modulated A accuracy . . . . . . . . . . . . . . . . . . . . . . 22 ACF . . see auto-correlation function analog scan chain . . . . . . . . . . . . . . 10 ATE . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 auto-correlation function . . . . 17, 36 automated test equipmemt see ATE B backward Euler integrator . . . . . 105 bandwidth half-power . . . . . . . . . . . . . . . 60 modulation . . . . . . . . . . . . . . . 25 normalized . . . . . . . . . . . . . . . 60 relative . . . . . . . . . . . . . . . . . . 60 bias . . . . . . . . . . . . . . . . . . . . . . . . . . 22 BILBO . . . . see built-in logic block observer biquad . . . . . . . . . . . . . . . . . . . . . . . . 57 biquadratic transfer function . . . . 57 BISC . . see built-in self-calibration BIST . . . . . . . . . see built-in self-test bit-error rate . . . . . . . . . . . . . . . . . . 18 BOST . . . . . . . see built-off self-test built-in logic block observer . . . . 14 built-in self-calibration . . . . . . 3, 79 built-in self-test . . . . . . 2, 13, 20, 79 analog . . . . . . . . . . . . . . . . . . . 14 hybrid . . . . . . . . . . . . . . . . . . . 15 logic . . . . . . . . . . . . . . . . . . . . . 13 memory . . . . . . . . . . . . . . . . . . 14 mixed analog-digital . . . . . . 15 oscillation . . . . . . . . . . . . . . . . 14 spectral PLL . . . . . . . . . . . . . 90 built-off self-test . . . . . . . . . . . 13, 20 C cascaded integrator-comb lter 136 center frequency . . . . . . . . . . . . . . . 55 CIC lter . . . . . . . . . . . . see cascaded integrator-comb lter circuit under test . . . . . . . . . . . . . . . 11 clock-and-data recovery . . . . . . . . 17 cumulative distribution function . 17 CUT . . . . . . . . . see circuit under test D damping . . . . . . . . . . . . . . . . . . . . . . 77 DDS. . . . see direct digital synthesis decimation . . . . . . . . . . . . . . . . . . . . 42 defect . . . . . . . . . . . . . . . . . . . . . . . . . 7 bridging . . . . . . . . . . . . . . . . . . . 8 Design-for-Test . . . . . . . . . . . . . . 2, 6 device under test . . . . . . . . . . . . . . . 11 DfT . . . . . . . . . . see Design-for-Test direct digital synthesis . . . . . . . . 104 DOT . . . . . . see test, defect-oriented downsampling . . . . . . . 42, 122, 136 DUT. . . . . . . . .see device under test

209

210 F failure . . . . . . . . . . . . . . . . . . . . . . . . . 7 fault . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 catastrophic . . . . . . . . . . . . . . . 9 hard . . . . . . . . . . . . . . . . . . . . . . 9 parametric . . . . . . . . . . . . . . . 10 soft . . . . . . . . . . . . . . . . . . . . . . 10 stuck-at . . . . . . . . . . . . . . . . . . . 8 stuck-open . . . . . . . . . . . . . . . . 8 fault model . . . . . . . . . . . . . . . . . . . . . 7 feature extraction . . . . . . . . . . . . . . 11 lter cascaded . . . . . . . . . . . . . . . . . 66 non-recursive . . . . . . . . . . . . 135 parallel . . . . . . . . . . . . . . . . . . 67 recursive . . . . . . . . . . . . . . . . 135 SOS) . . . . . . . . . . . . . . . . . . . . 66 wave digital . . . . . . . . . . . . . . 69 lter bank . . . . . . . . . . . . . . . . . . . . 70 forward Euler integrator . . . . . . . 105 Fourier transform . . . . . . . . . . . . . . 36 frequency carrier . . . . . . . . . . . . . . . . . . . 23 instantaneous . . . . . . . . . . . . . 24 modulation . . . . . . . . . . . . . . . 28 nominal . . . . . . . . . . . . . . . . . . 23 offset . . . . . . . . . . . . . . . . . . . . 28 resonance . . . . . . . . . . . . . . . 144 frequency deviation . . . . . . . . . . . . 24 peak . . . . . . . . . . . . . . . . . . . . . 25 relative . . . . . . . . . . . . . . . . . . 24 frequency discriminator sigma-delta . . . . . . . . . . . . . 156 frequency instability . . . . . . . . . . . 32 frequency modulation gain . . . . . 24 G Goertzel algorithm . . . . . . . . . . . 134 I

Index

idle tones. . . . . . . . . . . . . . . . .49, 167 L LFSR . . . . . . . . . see linear-feedback shift-register linear-feedback shift-register . . . 13 loop back test . . . . . . . . . . . . . . . . . 11 loop gain transit frequency . . . . . 77 lossless digital resonator . . . . . . . 62 M MADBIST . . . . see built-in self-test, mixed analog-digital, 90 message signal . . . . . . . . . . . . . . . . 24 modulation index frequency . . . . . . . . . . . . . . . . 25 modulation signal . . . . . . . . . . . . . 24 Moores law . . . . . . . . . . . . . . . . . . . 2 multiple-input signature register 14 N narrowband approximation . . . . . 27 natural frequency . . . . . . . . . . . . . . 77 network prototype . . . . . . . . . . . . . . . . 68 reference . . . . . . . . . . . . . . . . . 68 noise bandwidth . . . . . . . . . . 78, 150 O ORA. .see output response analysis output response analysis. . . . . . . .13 P periodogram . . . . . . . . . . . . . . . . . . 36 averaged . . . . . . . . . . . . . . . . . 36 phase

Christian Mnker

March 10, 2010

Index
instantaneous . . . . . . . . . . . . . 24 nominal . . . . . . . . . . . . . . . . . . 23 phase deviation . . . . . . . . . . . . . . 23 f. phase instability . . . . . . . . . . . . . . . 32 phase modulation gain . . . . . . . . . 24 phase noise . . . . . . . . . . . . . . . . . . . 32 phase-locked loop . . . . . . . . see PLL PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 Sigma-Delta modulated . . . . . 3 pole angle . . . . . . . . . . . . . . . . . . . . 53 pole radius . . . . . . . . . . . . . . . . . . . . 53 power spectral density . . . . . . . . . 36 PRBS . . . see pseudo-random binary sequence precision . . . . . . . . . . . . . . . . . . . . . 22 probability density function . . . . . 17 pseudo-random binary sequence 14 Q quality factor . . . . . . . . . . . . . . . . . . 60 R resolution . . . . . . . . . . . . . . . . . . . . . 22 resolution bandwidth . . . . . . . . . . 83 resonance frequency . . . . . . . . . . . 55 S sampling rate effective . . . . . . . . . . . . . . . . 110 scalloping loss . . . . . . . . . . . . . . . 147 scan chain analog . . . . . . . . . . . . . . . . . . . 10 SDFD . see frequency discriminator, sigma-delta SDM . see Sigma Delta Modulation selectivity . . . . . . . . . . . . . . . . . . . . 58 shape factor . . . . . . . . . . . . . . . . . . . 58 sigma delta frequency discrimination . . . . . . . . . . . . . . . . 122

211 sigma delta modulation . . . . . . . 123 signal-ow graph . . . . . . . . . . . . . . 69 signature . . . . . . . . . . . . . . . . . . . . 13 f. small angle approximation . . . . . . 27 SPOT . . . . . . . see test, specication oriented subsampling . . . . . . . . . . . . . . 42, 122 system LTI . . . . . . . . . . . . . . . . . . . . . . 22 shift-invariant . . . . . . . . . . . . 22 T test alternate. . . . . . . . . . . . . . . . . .11 defect-oriented . . . . . . . . . . . . . 4 functional . . . . . . . . . . . . . . . . . 4 RF structural . . . . . . . . . . . . . 10 specication oriented . . . . . . . 4 structural . . . . . . . . . . . . . . . . . . 4 translation . . . . . . . . . . . . . . . . 11 test insertion . . . . . . . . . . . . . . . . . . 19 test vector . . . . . . . . . . . . . . . . . . . . . . 7 test-pattern generation . . . . . . . . . 13 time-to-digital converter . . . . . . 123 TPG . . . . see test-pattern generation tranfer function noise . . . . . . . . . . . . . . . . . . . . 47 signal . . . . . . . . . . . . . . . . . . . . 47 U uncertainty . . . . . . . . . . . . . . . . . . . 22 undersampling . . . . . . . . . . . . . . . . 42 W wave variable . . . . . . . . . . . . . . . . . 69

Spectral PLL Built-In Self-Test for Integrated Cellular Transmitters

You might also like