You are on page 1of 14

GENERALIDADES DEL

VHDL

INTEGRANTES:
Jaminton Moreno Fuentes
Marghy Anglica Espinosa
Ginna Alejandra Cirat
Qu es VHDL ?

VHDL(Lenguaje de Descripcin de Hardware de Circuitos Integrados de Muy


Alta Velocidad), es un lenguaje similar a ADHL o Verilog, usado para
describir circuitos internos y programacin de FPGAs, se cre para el diseo
y documentacin de circuitos complejos que consta con una sintaxis amplia
y flexible que permite el modelado estructural, en flujo de datos y de
comportamiento del hardware. Uno de sus principales objetivos es el
modelado, es decir un modelo de simulacin de un circuito; por otra parte
el VHDL usa la sntesis automtica de circuitos, la sntesis es una tarea
vertical entre niveles de abstraccin, del nivel mas alto en la jerarqua de
diseo se va hacia el ms bajo nivel de la jerarqua. Se puede diferenciar
del lenguaje C por ser un lenguaje paralelo, no secuencial.
HDL
Unlenguaje de descripcin de hardware(Hardware Description Language)
es un lenguaje de programacin especializado que se utiliza para definir la
estructura, diseo y operacin de circuitos electrnicos, especialmente de
circuitos electrnicos digitales.
Como por ejemplo el convertidor analgico digital o cualquier antena
satelital.
Los HDL son similares a algunos lenguajes de programacin de
ordenadores como C o Java. Bsicamente consisten en una descripcin
textual con expresiones, declaraciones y estructuras de control. Sin
embargo, una importante diferencia entre los HDL y otros lenguajes de
programacin est en que el HDL incluye explcitamente la nocin de
tiempo.
SNTESIS LGICA
library ieee;
use ieee.std_logic_1164.all;
entity puertas is port ( A, B, C : in std_logic;
Y: out std_logic );
end puertas;
ARCHITECTURE a of puertas is
begin
Y <= (A and B) or C;
end a;
VHSIC
Es uncircuito integradode muy alta velocidad, un tipo de circuito de
lgica digital.
AHDL

AHDL (sigla en ingls de "Altera Hardware Description Language",


Lenguaje de Descripcin de Hardware de Altera) es un lenguaje de
descripcin de hardware (HDL) propietario de Altera Corporation para la
programacin de CPLDs (Complex Programmable Logic Devices o
Dispositivos Complejos de Lgica Programable) y FPGAs (Field
Programmable Gate Arrays o Campo de Matrices de Puertas
Programables). Compilado con el Quartus de Altera y la serie de
compiladores Max+, este lenguaje tiene una sintaxis parecida al
lenguaje de programacin C y una funcionalidad similar a VHDL.
VERILO
G
Verilog es un lenguaje de descripcin de hardware (HDL, del Ingls
Hardware Description Language) usado para modelar sistemas
electrnicos. El lenguaje, algunas veces llamado Verilog HDL, soporta el
diseo, prueba e implementacin de circuitos analgicos, digitales y de
seal mixta a diferentes niveles de abstraccin.
ASIC

Un Circuito Integrado para Aplicaciones Especficas (o ASIC, por sus


siglas en ingls) es un circuito integrado hecho a la medida para un uso
en particular, en vez de ser concebido para propsitos de uso general,
se usan para una funcin especfica. Por ejemplo, un chip diseado
nicamente para ser usado en un telfono mvil es un ASIC.
HISTORIA

1980: Programa VHSIC (Very High Speed Integrated Circuit) del


Departamento de Defensa de E.E.U.U.
1983: Diversos grupos de investigadores comienzan los trabajos para
el desarrollo del VHDL, buscando una solucin a los problemas del
diseo de circuitos complejos.
1987: VHDL se convierte en Standard IEEE 1076-1987.
1994: Nueva versin del estndar: IEEE 1076-1993.
1996: Aparecen las primeras herramientas que soportan la nueva
versin del estndar (la versin 1987 es mas universalmente
aceptada).
2002: Se realiz la ultima revisin.
CARACTERSTICAS GENERALES
El VHDL es un lenguaje orientado a la descripcin o modelado de Hw
similar a lenguajes de alto nivel de propsito general como:

Concepto de tipo de datos, con posibilidad de definir nuevos tipos


facilita la descripcin de circuitos con diversos niveles de abstraccin.
Sentencias de control de flujos (if, for while) potencia para desarrollar
algoritmos.
Capacidad de estructurar el cdigo (subprogramas, funciones o
procedimiento), permite afrontar algoritmos complejos.
Posibilidad de utilizar y desarrollar bibliotecas de diseo, ya que
incorpora conceptos especficos para el modelado del Hw, como
concurrencia y ciclo de simulacin.
MODELO DEL HW

El HW es un modelo de concurrencia: procesos, seales y eventos.

El elemento bsico de concurrencia es el proceso (process) que est


formado por sentencias secuenciales.
Los procesos se comunican entre ellos mediante seales (signal).
Cada proceso tiene un conjunto de seales a las que es sensible, de
forma que un cambio en alguna (evento) de ellas hace que se ejecute.
Las seales tienen asociadas una o varias colas de eventos, pares
tiempovalor (drivers), que definen su comportamiento en el transcurso
de una simulacin.
VENTAJAS
Soporta tres estilos de descripcin bsicos: Descripcin comportamental
(behavioral), Descripcin de Flujo de Datos (data-flow) y Descripcion
Estructural (estructural). Un diseo puede ser descrito con una
combinacin de los tres estilos.
Debido a su sencillez, se puede reutilizar el diseo en componentes tan
distintos como ASICs o FPGAs con un esfuerzo mnimo.
El lenguaje tambin puede ser usado como medio de comunicacin entre
diferentes herramientas.
La propia descripcin en el lenguaje de alto nivel sirve como
especificacin del comportamiento del sistema a disear (tanto a nivel
funcional como las restricciones temporales), y el interface con el resto del
sistema.
El lenguaje soporta jerarqua : un sistema digital puede ser modelado
como un conjunto de componentes interconectados, a su vez cada
componente puede ser modelado como un conjunto de subcomponentes.
DESVENTAJAS

El uso de estos lenguajes hace que involuntariamente se pierda un poco


de control sobre el aspecto fsico del diseo, dndole una mayor
importancia a la funcionalidad de dicho diseo.
Necesaria la adquisicin de nuevas herramientas: como lo son los
simuladores y sintetizadores de HDL, teniendo que mantener el resto de
las herramientas para otras fases del diseo.
Supone un esfuerzo de aprendizaje, ya que prcticamente se puede
considerar como nueva metodologa.
EJEMPLO BSICO DE DESCRIPCIN DE
VHDL
Describir en VHDL un circuito que multiplexe dos lneas (a y b) de un bit,
a una sola lnea (salida) tambin de un bit; la seal selec sirve para
indicar que a la salida se tiene la lnea a (selec='0') o b (selec='1').

You might also like