You are on page 1of 10

UVM

UVM consists of three main types of


UVM classes
uvm_object
uvm_transaction
uvm_component
UVM Testbench
Sequence item
uvm_sequence_item inherits from
the uvm_object via the
uvm_transaction class
Utility Macros & Field
Macros
UVM Sequence
Communication between the
Sequence and driver involves below
steps,
1.create_item() / create req.
2.wait_for_grant().
3.randomize the req.
4.send the req.
5.wait for item done.
6.get response.

You might also like