You are on page 1of 78

La Unidad Central

de Procesamiento
(CPU)
Universidad Nacional de Ingeniera
Facultad de Ingeniera Industrial y Sistemas
Lic. Jos Zamora Ramrez

1
Puntos a desarrollar
1. Introduccin
2. Organizacin Interna y Funcionamiento del CPU
2.1 Los registros
2.2 El ALU
2.3 La unidad de Control
2.4 Registros, ALU y Unidad de Control en
Procesadores modernos
3. El Procesador: antes y ahora. Caso INTEL
4. Cmo trabaja el CPU? . Ejemplo
5. Criterios para eleccin de procesadores
2
1. Introduccin

Transferencia
de datos

Control

Almacenamiento Procesamiento
de datos de datos

3
1. Introduccin

4
2. Organizacin Interna y Funcionamiento
del CPU
El CPU (Central Processing Unit) o
Unidad Central de Procesamiento
es el elemento principal de la
computadora.
La misin del CPU consiste en
coordinar y realizar las operaciones
del sistema informtico.
El funcionamiento del CPU est
determinado por las instrucciones
que ejecuta para procesar los
datos.
Las instrucciones que ejecuta estn
almacenadas en la memoria.
5
2. Organizacin Interna y Funcionamiento
del CPU
La CPU debe contar con los
siguientes componentes:
Registros, Unidad Aritmtico
Lgica (ALU) y Unidad de
Control
La unidad de procesamiento
central (CPU) es donde se
manipulan los datos.
En una microcomputadora, el
CPU completo est contenido
en un chip (circuito integrado)
muy pequeo llamado
microprocesador.
6
2. Organizacin Interna y Funcionamiento
del CPU
Caracteristicas del
microprocesador:
Velocidad de bus soportada.
Por ejemplo: 1066 MHz
Frecuencia del nucleo del
procesador . Por ejemplo: 3.2
GHz
Tamao de palabra (word) 32
or 64 bits) y ruta de datos (data
path) 64 or 128 bits.
Capacidad de multiproceso y
memoria especifica.
Tipo de RAM, mainboard, y
chipset supported
7
2. Organizacin Interna y Funcionamiento
del CPU

8
2. Organizacin Interna y Funcionamiento
del CPU

9
2.1. Los registros del CPU

10
2.1. Los registros del CPU
Registro: coleccin de n
biestables que funcionan al
unsono
Usaremos registros con las
siguientes caractersticas:
Formados por biestables
sncronos por flanco de
bajada (slo usamos la
salida activa por nivel alto).
Entrada paralelo salida
paralelo.
La seal de carga es el reloj

11
2.1. Los registros del CPU
El CPU (Procesador) para
ejecutar las instrucciones
requiere de almacenamiento
temporal.
Esta pequea cantidad de
memoria interna, de alta
velocidad, est constituida por
Registros, los cuales se pueden
utilizar para almacenamiento
temporal de operandos o
datos que se utilicen con
frecuencia.
El tamao de un registro es
medido en bits. Por ejemplo:
16bits
Los tiempos de acceso a los
registros son generalmente de
5 a 10 veces ms rpidos que
los de acceso a memoria
12
principal.
2.1. Los registros del CPU

Registros de control y de
estado (No visibles)
Contador de programa (PC): Registro
de instruccin (IR): Registro de
direccin de memoria (MAR)
Registro intermedio de memoria
(MBR)
Acumulador (AC)
Registro Intermedio de Instrucciones
(IBR)
Multiplicador-Cociente (MQ)

13
Mquina von Neumann
Detalles de la estructura

MBR:
Memory Buffer Register

Contiene una palabra que debe


ser almacenada en la memoria, o
es usado para recibir una palabra
procedente de la memoria.

14
Mquina von Neumann
Detalles de la estructura

MAR:
Memory Adress Register

Especifica la direccin en
memoria de la palabra que va a
ser escrita o leda en MBR.

(CC) 15
Prsentat
ion
Mquina von Neumann
Detalles de la estructura

IR:
Instruction Register

Contiene los 8 bits del cdigo de


operacin de la instruccin que se
va a ejecutar.

(CC) 16
Prsentat
ion
Mquina von Neumann
Detalles de la estructura

IBR:
Instruction Buffer Register

Empleado para almacenar


temporalmente la instruccin
contenida en la parte derecha de
una palabra en memoria.

(CC) 17
Prsentat
ion
Mquina von Neumann
Detalles de la estructura

PC:
Program Counter

Contiene la direccin de la prxima


pareja de instrucciones que van a
ser captadas de la memoria.

(CC) 18
Prsentat
ion
2.1. Los registros del CPU
Palabra de estado del programa (PSW: program
status word)
Cdigos de condicin
Signo: bit de signo de la ltima operacin aritmtica
Cero: 1 cuando el resultado es cero
Acarreo: 1 si hay acarreo (suma) o adeudo (resta). Operaciones aritmticas
multipalabra
Acarreo auxiliar: acarreo intermedio (ajuste decimal despus de suma)
Igual: 1 si hay igualdad en la comparacin
Desbordamiento: indica desbordamiento aritmtico
Supervisor: indica si la CPU est en modo supervisor o usuario

19
2.1. Los registros del CPU
Caso: Intel 8086
Registros de uso especial (16 bits):
4 registros generales
3 implcitos
Datos: uso general
4 registros puntero:
Implcitos
Desplazamiento en segmento
4 registros segmento
3 implcitos y dedicados : CS:
cdigo; DS: datos y SS: pila
Puntero de instruccin
Conjunto de indicadores de estado
20
2.1. Los registros del CPU

21
Ejemplo de uso de Registros del CPU en ASSEMBLER
PILA SEGMENT STACK 'STACK' ; Abre el segmento de PILA.
DW 100h DUP (?) ; Reserva 100 palabras para la PILA.
PILA ENDS ; Cierra el segmento de PILA.

DATOS SEGMENT 'DATA' ; Abre el segmento de DATOS.


mensaje DB 13,10,"Primer Programa",13,10, '$' ; Mensaje a escribir
DATOS ENDS ; Cierra el segmento de DATOS.

CODIGO SEGMENT 'CODE' ; Abre el segmento de CODIGO.


ASSUME CS:CODIGO, DS:DATOS, SS:PILA
ENTRADA:
MOV ax, DATOS ; Valor de segmento para DATOS.
MOV ds, ax ; Para acceder a "mensaje".
MOV dx, OFFSET mensaje ; Para la interrupcin 21h, funcin 09.
MOV ah, 09 ; Especifica el servicio o funcin 09.
INT 21h ; Invoca servicio 09: Imprimir Cadena.
MOV ax, 4C00h ; Servicio 4Ch, con valor de retorno 0.
INT 21h ; Invoca servicio 4Ch: Retorno al DOS.
CODIGO ENDS ; Cierra el segmento de CODIGO.
END ENTRADA
22
2.2. ALU

23
2.2. ALU
El ALU (Unidad Aritmtico Lgica) es la parte del computador que realiza operaciones
aritmticas(sumas, restas, productos y divisiones) y lgicas con datos.
Esta compuesta por dispositivos lgicos digitales sencillos, que cumplen con almacenar
dgitos binarios y realizar operaciones lgicas booleanas elementales

24
2.2. ALU - Funcionamiento
Los operandos se le presentan al
ALU como salidas de dos registros
del CPU, a traves de un bus. Por lo
general, el resultado se envia a
otro registro del CPU para que la
logica combinatoria termine con los
calculos. Ademas una operacion
del ALU es mas rapida que una
operacion de acceso a memoria.
Esto significa que en una
instruccion en la que haya una
operacion de ALU, el operando que
deba traerse de memoria no
requerira mucho mas tiempo de
ejecucion que una instruccion que
solo traslada el contenido de una
localidad de memoria a otra.

25
2.2. ALU - Operaciones
Operaciones realizadas en el ALU
Operaciones en Complemento a dos (Ca2)

26
2.2. ALU - Operaciones
Conversin : Decimal complemento a dos: a travs de una caja de
valores

-128 64 32 16 8 4 2 1

1 0 0 0 1 0 0 0

-120= -128 +8

-128 64 32 16 8 4 2 1

0 1 1 1 1 0 0 0

120= + 64 + 32 + 16 + 8
27
2.3. La Unidad de Control

28
2.3. La Unidad de Control

Parte del procesador que


regula operaciones del
procesador
Internas: transferencia de
datos entre registros y la
ejecucin de instrucciones
en la ALU
Externas: intercambio de
datos con la memoria y
mdulos E/S
Responsable de la secuencia
de microoperaciones en un
ciclo de instruccin
29
2.3. La Unidad de Control

30
2.3. La Unidad de Control - Funciones
La definicin de las funciones de control es la base
de diseo e implementacin de la unidad de control
Microoperaciones posibles:
Transferencia de datos de un registro a otro
Transferencia datos de registro a interfaz externa
Transferencia datos de interfaz externa a registro
Realizacin de operacin aritmtica o lgica con registros de entrada y salida

Unidad de control (Seales de control)


Secuenciamiento: programa & microoperaciones
Ejecucin de las microoperaciones

31
2.3. La Unidad de Control - Seales

Entradas:
Reloj: cada microoperacin en
un pulso
Registro de instruccin: codop
Indicadores: estado del
procesador y resultados de ALU
anteriores
Seales de control del bus:
interrupcin o reconocimiento
Salidas:
Seales de control internas:
Transferencia entre registros y
Activacin de funciones de ALU
Seales de control hacia el bus:
Control de memoria y Control
de mdulos E/S

32
2.3. La Unidad de Control El ciclo de
ejecucion de instrucciones

33
2.3. La Unidad de Control Detalle del
ciclo de ejecucin de instrucciones

34
2.3. La Unidad de Control -
Microoperaciones

35
2.4 Registros, ALU y Unidad de Control en
Procesadores modernos

36
2.4 Registros, ALU y Unidad de Control en
Procesadores modernos

37
2.4 Registros, ALU y Unidad de Control en
Procesadores modernos

38
3. El Procesador: antes y ahora
Caso Intel

39
Antes
Procesador Intel 4004

40
Antes
Tamao del chip de Intel 4004

41
Antes
Detalle del circuito integrado del CPU 4004

42
Antes.
Esquema de la circuitera del CPU 4004

43
Antes.
Componentes del CPU Intel 4004
Reloj Pila y Contador de Programa

ALU

Decodificador de Instrucciones Registros


44
Ahora.
Procesador Core i7 de Intel

45
Ahora.
Detalle de la circuiteria del CPU Core i7

46
Ahora
Componentes del CPU Core i7 de Intel
Ncleos (Cores)

Cache L3

47
Proceso de fabricacin de chips
20 a 30 pasos de
Corte en procesamento
obleas De las oblea
Lingote de silcio Wafers
limpias
dados
probados

Separador
Test de
dados Corte de
los chips
dados Wafers
Individuales Impresas
con el CI

Encapsula-
Teste final dos Entrega a los
miento chips consumidores
chips chips probados
encapsulado
48
4. Caractersticas del CPU

49
Sockets y Packaging

Package PGA
(Pin Grid Array)
Package LGA
(Land Grid
Array)

50
Tecnologas para CPU
Hyperthreading (Multihilo Simultaneo)
Permite a los programas que soportan esta tecnologa, ejecutar
mltiples hilos (multi-threaded) y procesarlos en paralelo dentro de
un nico procesador, para esto se simula dos procesadores lgicos
dentro de un nico procesador fsico.

51
Tecnologas para CPU
Dual Core y Multi-Core
Un microprocesador multincleo es
aquel que combina dos o ms
procesadores independientes en un solo
paquete, a menudo un solo circuito
integrado. En general, los
microprocesadores multincleo permiten
que un dispositivo computacional exhiba
una cierta forma del paralelismo a nivel
de thread (thread-level parallelism) (TLP)
sin incluir mltiples microprocesadores
en paquetes fsicos separados. Esta forma
de TLP se conoce a menudo como
multiprocesamiento a nivel de chip (chip-
level multiprocessing) o CMP

52
Tecnologas para CPU
Overclocking
Serie de tcnicas que permiten forzar
los componentes de un sistema
informtico (de cualquier tipo) para
que trabajen a ms velocidad de la
original.
Se suele aplicar dichas tecnicas al
microprocesador, pero ste no es el
nico componente susceptible de ser
forzado, todos aquellos dispositivos
que lleven un reloj interno o marcador
de frecuencia (oscilador de cuarzo)
pueden llegar a mayores frecuencias
de trabajo que la original, tales como:
memoria RAM, tarjeta grfica, e
incluso a tarjetas de sonido o mdems.

53
Tecnologas para CPU
Cach
Mover datos entre la RAM y los registros
de la CPU es una de las operaciones que
consumen ms tiempo, esto debido a que
la RAM es ms lenta que la CPU. Una
solucin parcial a este problema es incluir
una Memoria cach en la CPU.
Cuando un programa se ejecuta y la CPU
necesita datos o instrucciones desde la
RAM, la CPU verifica primero en la cach,
si no estan ah, lee los datos desde la
RAM, pero tambin carga una copia en la
memoria cach, luego la siguiente vez que
se necesite los mismos datos, se ubicaran
en la cach y ahorrar el tiempo
necesario para cargar los datos desde la
RAM.
54
Tecnologas para CPU
VRM (Voltage Regular Module)
El Mdulo regulador de voltaje) es un dispositivo
electrnico que provee al CPU el voltaje apropiado. Puede
estar soldado a la placa madre o ser un dispositivo
instalable.

55
Tecnologas para CPU
32 bits vs. 64 bits
Los procesadores de
64bits pueden ejecutar
mas aplicaciones.
Los procesadores de
32bits tienen un limite
de direccionamiento
de 4GB de memoria
RAM
Para aprovechar los
CPU de 64 bits
requerimos Sist Oper y
aplicativos de 64bits
56
5. Caso: Instalacin de un CPU Intel

57
Instalacin de un CPU Intel Core i7
Manipulacion del motherboard
Extraiga la motherboard de la bolsa
antiesttica (si corresponde).
Compruebe que la palanca y la
placa de carga del zcalo estn
cerradas. No abra el zcalo en este
momento.
Compruebe que la tapa protectora
del zcalo est presente y
correctamente cerrada. No retire la
cubierta protectora del zcalo.
No toque los contactos delicados
del zcalo.
58
Instalacin de un CPU Intel Core i7
Preparacin del zcalo
Abrir el zcalo
Extraiga la tapa protectora del
zcalo
Inspeccin de contactos
doblados

59
Instalacin de un CPU Intel Core i7
Manipulacin e Instalacin del procesador en
zcalo Desktop LGA1366

60
Instalacin de un CPU Intel Core i7

61
Instalacin de un CPU Intel Core i7
Instalacin del disipador trmico con ventilador

62
6. Cmo trabaja el CPU ?

63
La unidad de
Prefetch,
permite el
ingreso del
numero 2, el
cual es
ubicado en la
cach de
instrucciones

64
El numero 2
es
decodificado
y reconocido
como dato.
Se
almacena
en la cach
de datos

65
La unidad de
Prefetch,
permite el
ingreso del
numero 3 y
se ubica en la
cach

66
El numero 3
es
decodificado
y reconocido
como dato.
Se
almacena
en la cach
de datos

67
La unidad de
Prefetch
permite
ingreso del
carcter + y
se ubica en
la cach de
instrucciones.

68
La
decodificacio
n del carcter
+ indica una
suma, la cual
es realizada
por el ALU

69
El resultado
de la suma
es
almacenado
en uno de los
Registros de
proposito
general

70
La unidad de
Prefetch,
permite el
ingreso del
carcter =, el
cual se
almacena en
la cache de
instrucciones.

71
Al
decodificarse
la nueva
instruccin,
se invoca a
una muestra
de resultado

72
Se envia al
dispositivo de
salida el
resultado de
la suma.

73
7. Criterios para eleccin de
procesadores

74
7. Criterios para eleccin de procesadores

Tensin de
alimentacin
Frecuencia de reloj
Tasa de transferencia

75
7. Criterios para eleccin de procesadores

Ancho de los
registros
del CPU
Ancho del bus de
direcciones
Tamao de las
cachs

76
7. Criterios para eleccin de procesadores

Precio
Tecnologa de fabricacin
Encapsulado

77
7. Criterios para eleccin de procesadores

Consumo de
energa
Nmero de
transistores
Juegos de
instrucciones
que usa

78

You might also like